MMC/project/simulation/MMC_phy_sim.v
2023-05-24 09:45:07 +08:00

152237 lines
6.6 MiB

// Verilog netlist created by TD v5.0.43066
// Wed May 24 09:35:51 2023
`timescale 1ns / 1ps
module CortexM0_SoC // ../rtl/topmodule/CortexM0_SoC.v(2)
(
RSTn,
RXD,
SWCLK,
clk,
col,
rgmii_rxc,
rgmii_rxctl,
rgmii_rxd,
I2S_BCLK,
I2S_LRCLK,
I2S_SDATA,
LED,
MSI_CS,
MSI_REFCLK,
MSI_SCLK,
MSI_SDATA,
TXD,
audio_pwm,
e_mdc,
rgmii_txc,
rgmii_txctl,
rgmii_txd,
row,
seg,
sel,
SWDIO,
e_mdio
);
input RSTn; // ../rtl/topmodule/CortexM0_SoC.v(8)
input RXD; // ../rtl/topmodule/CortexM0_SoC.v(13)
input SWCLK; // ../rtl/topmodule/CortexM0_SoC.v(10)
input clk; // ../rtl/topmodule/CortexM0_SoC.v(7)
input [3:0] col; // ../rtl/topmodule/CortexM0_SoC.v(34)
input rgmii_rxc; // ../rtl/topmodule/CortexM0_SoC.v(31)
input rgmii_rxctl; // ../rtl/topmodule/CortexM0_SoC.v(30)
input [3:0] rgmii_rxd; // ../rtl/topmodule/CortexM0_SoC.v(29)
output I2S_BCLK; // ../rtl/topmodule/CortexM0_SoC.v(22)
output I2S_LRCLK; // ../rtl/topmodule/CortexM0_SoC.v(23)
output I2S_SDATA; // ../rtl/topmodule/CortexM0_SoC.v(21)
output [7:0] LED; // ../rtl/topmodule/CortexM0_SoC.v(11)
output MSI_CS; // ../rtl/topmodule/CortexM0_SoC.v(16)
output MSI_REFCLK; // ../rtl/topmodule/CortexM0_SoC.v(14)
output MSI_SCLK; // ../rtl/topmodule/CortexM0_SoC.v(17)
output MSI_SDATA; // ../rtl/topmodule/CortexM0_SoC.v(15)
output TXD; // ../rtl/topmodule/CortexM0_SoC.v(12)
output audio_pwm; // ../rtl/topmodule/CortexM0_SoC.v(18)
output e_mdc; // ../rtl/topmodule/CortexM0_SoC.v(24)
output rgmii_txc; // ../rtl/topmodule/CortexM0_SoC.v(28)
output rgmii_txctl; // ../rtl/topmodule/CortexM0_SoC.v(27)
output [3:0] rgmii_txd; // ../rtl/topmodule/CortexM0_SoC.v(26)
output [3:0] row; // ../rtl/topmodule/CortexM0_SoC.v(35)
output [7:0] seg; // ../rtl/topmodule/CortexM0_SoC.v(20)
output [3:0] sel; // ../rtl/topmodule/CortexM0_SoC.v(19)
inout SWDIO; // ../rtl/topmodule/CortexM0_SoC.v(9)
inout e_mdio; // ../rtl/topmodule/CortexM0_SoC.v(25)
parameter ADDR_WIDTH = 12;
parameter FM_ADDR_WIDTH = 6;
wire [3:0] \FMDATA_Interface/size_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(49)
wire [31:0] FMDATA_RDATA; // ../rtl/topmodule/CortexM0_SoC.v(474)
wire [5:0] FMDATA_WADDR; // ../rtl/topmodule/CortexM0_SoC.v(476)
wire [3:0] FMDATA_WRITE; // ../rtl/topmodule/CortexM0_SoC.v(478)
wire [4:0] \FM_Display/channel_NO ; // ../rtl/peripherals/FM_Display.v(21)
wire [25:0] \FM_Display/conter ; // ../rtl/peripherals/FM_Display.v(19)
wire [15:0] \FM_Display/counter_1Khz ; // ../rtl/peripherals/FM_Display.v(71)
wire [1:0] \FM_Display/ctrl_freq ; // ../rtl/peripherals/FM_Display.v(110)
wire [3:0] \FM_Display/frac_digit ; // ../rtl/peripherals/FM_Display.v(22)
wire \FM_Display/mux14_b4/B2_0 ; // ../rtl/peripherals/FM_Display.v(287)
wire [7:0] \FM_Display/n100 ;
wire [7:0] \FM_Display/n111 ;
wire [7:0] \FM_Display/n114 ;
wire [25:0] \FM_Display/n12 ;
wire [15:0] \FM_Display/n20 ;
wire [7:0] \FM_Display/n86 ;
wire [7:0] \FM_Display/n91 ;
wire [7:0] \FM_Display/n93 ;
wire [7:0] \FM_Display/n95 ;
wire [3:0] \FM_Display/percentage_digit ; // ../rtl/peripherals/FM_Display.v(24)
wire [3:0] \FM_Display/single_digit ; // ../rtl/peripherals/FM_Display.v(23)
wire [3:0] \FM_Display/thousand_digit ; // ../rtl/peripherals/FM_Display.v(25)
wire [11:0] \FM_HW/ADC_Data /* synthesis keep=1 */ ; // ../rtl/demodulation/FM_HW.v(166)
wire [15:0] \FM_HW/Audio_PWM/cnt ; // ../rtl/peripherals/Audio_PWM.v(15)
wire [15:0] \FM_HW/Audio_PWM/n2 ;
wire [2:0] \FM_HW/Channel ; // ../rtl/demodulation/FM_HW.v(150)
wire [5:0] \FM_HW/FM_Demodulation/I2S_TX/counter ; // ../rtl/libs/I2S_TX.v(39)
wire [49:0] \FM_HW/FM_Demodulation/I2S_TX/data_add_onebit ; // ../rtl/libs/I2S_TX.v(20)
wire [49:0] \FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 ; // ../rtl/libs/I2S_TX.v(20)
wire [49:0] \FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 ; // ../rtl/libs/I2S_TX.v(20)
wire [5:0] \FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt ; // ../rtl/libs/I2S_1M.v(10)
wire [5:0] \FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n2 ;
wire \FM_HW/FM_Demodulation/I2S_TX/mux1/B0_20 ; // ../rtl/libs/I2S_TX.v(64)
wire \FM_HW/FM_Demodulation/I2S_TX/mux1/B0_21 ; // ../rtl/libs/I2S_TX.v(64)
wire \FM_HW/FM_Demodulation/I2S_TX/mux1/B0_4 ; // ../rtl/libs/I2S_TX.v(64)
wire \FM_HW/FM_Demodulation/I2S_TX/mux1/B0_5 ; // ../rtl/libs/I2S_TX.v(64)
wire \FM_HW/FM_Demodulation/I2S_TX/mux1/B0_6 ; // ../rtl/libs/I2S_TX.v(64)
wire \FM_HW/FM_Demodulation/I2S_TX/mux1/B0_7 ; // ../rtl/libs/I2S_TX.v(64)
wire \FM_HW/FM_Demodulation/I2S_TX/mux1/B1_8 ; // ../rtl/libs/I2S_TX.v(64)
wire \FM_HW/FM_Demodulation/I2S_TX/mux1/B2_0 ; // ../rtl/libs/I2S_TX.v(64)
wire [5:0] \FM_HW/FM_Demodulation/I2S_TX/n2 ;
wire [15:0] \FM_HW/FM_Demodulation/INMultQN_1 ; // ../rtl/demodulation/FM_Demodulation.v(77)
wire [15:0] \FM_HW/FM_Demodulation/IQdatatemp1 ; // ../rtl/demodulation/FM_Demodulation.v(56)
wire [15:0] \FM_HW/FM_Demodulation/IQdatatemp2 ; // ../rtl/demodulation/FM_Demodulation.v(56)
wire [7:0] \FM_HW/FM_Demodulation/Idata ; // ../rtl/demodulation/FM_Demodulation.v(55)
wire [7:0] \FM_HW/FM_Demodulation/IdataN ; // ../rtl/demodulation/FM_Demodulation.v(35)
wire [7:0] \FM_HW/FM_Demodulation/IdataN_1 ; // ../rtl/demodulation/FM_Demodulation.v(34)
wire [15:0] \FM_HW/FM_Demodulation/QNMultIN_1 ; // ../rtl/demodulation/FM_Demodulation.v(78)
wire [7:0] \FM_HW/FM_Demodulation/Qdata ; // ../rtl/demodulation/FM_Demodulation.v(55)
wire [7:0] \FM_HW/FM_Demodulation/QdataN ; // ../rtl/demodulation/FM_Demodulation.v(37)
wire [7:0] \FM_HW/FM_Demodulation/QdataN_1 ; // ../rtl/demodulation/FM_Demodulation.v(36)
wire [15:0] \FM_HW/FM_Demodulation/demodulated_signal_sample_16bit_temp2 ; // ../rtl/demodulation/FM_Demodulation.v(226)
wire [23:0] \FM_HW/FM_Demodulation/demodulated_signal_sample_24bit ; // ../rtl/demodulation/FM_Demodulation.v(222)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[0] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[10] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[11] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[12] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[13] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[14] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[15] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[16] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[17] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[18] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[19] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[1] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[20] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[2] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[3] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[4] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[5] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[6] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[7] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[8] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [16:0] \FM_HW/FM_Demodulation/dmd_data_filter[9] ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [17:0] \FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 ; // ../rtl/demodulation/FM_Demodulation.v(125)
wire [17:0] \FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 ; // ../rtl/demodulation/FM_Demodulation.v(126)
wire [17:0] \FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 ; // ../rtl/demodulation/FM_Demodulation.v(127)
wire [17:0] \FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 ; // ../rtl/demodulation/FM_Demodulation.v(128)
wire [17:0] \FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 ; // ../rtl/demodulation/FM_Demodulation.v(129)
wire [17:0] \FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 ; // ../rtl/demodulation/FM_Demodulation.v(130)
wire [17:0] \FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 ; // ../rtl/demodulation/FM_Demodulation.v(131)
wire [17:0] \FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 ; // ../rtl/demodulation/FM_Demodulation.v(132)
wire [17:0] \FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 ; // ../rtl/demodulation/FM_Demodulation.v(133)
wire [17:0] \FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 ; // ../rtl/demodulation/FM_Demodulation.v(134)
wire [26:0] \FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 ; // ../rtl/demodulation/FM_Demodulation.v(136)
wire [26:0] \FM_HW/FM_Demodulation/dmd_data_filter_multi_10 ; // ../rtl/demodulation/FM_Demodulation.v(146)
wire [26:0] \FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 ; // ../rtl/demodulation/FM_Demodulation.v(137)
wire [26:0] \FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 ; // ../rtl/demodulation/FM_Demodulation.v(138)
wire [26:0] \FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 ; // ../rtl/demodulation/FM_Demodulation.v(139)
wire [26:0] \FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 ; // ../rtl/demodulation/FM_Demodulation.v(140)
wire [26:0] \FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 ; // ../rtl/demodulation/FM_Demodulation.v(141)
wire [26:0] \FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 ; // ../rtl/demodulation/FM_Demodulation.v(142)
wire [26:0] \FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 ; // ../rtl/demodulation/FM_Demodulation.v(143)
wire [26:0] \FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 ; // ../rtl/demodulation/FM_Demodulation.v(144)
wire [26:0] \FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 ; // ../rtl/demodulation/FM_Demodulation.v(145)
wire [29:0] \FM_HW/FM_Demodulation/dmd_data_filtered_add ; // ../rtl/demodulation/FM_Demodulation.v(214)
wire [28:0] \FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 ; // ../rtl/demodulation/FM_Demodulation.v(211)
wire [27:0] \FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 ; // ../rtl/demodulation/FM_Demodulation.v(204)
wire [28:0] \FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 ; // ../rtl/demodulation/FM_Demodulation.v(212)
wire [30:0] \FM_HW/FM_Demodulation/dmd_data_filtered_temp ; // ../rtl/demodulation/FM_Demodulation.v(215)
wire [5:0] \FM_HW/FM_Demodulation/fm_sample/cnt ; // ../rtl/demodulation/clk_fm_demodulation.v(11)
wire [5:0] \FM_HW/FM_Demodulation/fm_sample/n4 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_0/n1 ;
wire [17:0] \FM_HW/FM_Demodulation/multl18M9_0/n2 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_0/n9 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_1/n1 ;
wire [17:0] \FM_HW/FM_Demodulation/multl18M9_1/n2 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_1/n9 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_10/n1 ;
wire [17:0] \FM_HW/FM_Demodulation/multl18M9_10/n2 ;
wire [26:0] \FM_HW/FM_Demodulation/multl18M9_10/n6 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_10/n9 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_2/n1 ;
wire [17:0] \FM_HW/FM_Demodulation/multl18M9_2/n2 ;
wire [26:0] \FM_HW/FM_Demodulation/multl18M9_2/n6 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_2/n9 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_3/n1 ;
wire [17:0] \FM_HW/FM_Demodulation/multl18M9_3/n2 ;
wire [26:0] \FM_HW/FM_Demodulation/multl18M9_3/n6 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_3/n9 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_4/n1 ;
wire [17:0] \FM_HW/FM_Demodulation/multl18M9_4/n2 ;
wire [26:0] \FM_HW/FM_Demodulation/multl18M9_4/n6 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_4/n9 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_5/n1 ;
wire [17:0] \FM_HW/FM_Demodulation/multl18M9_5/n2 ;
wire [26:0] \FM_HW/FM_Demodulation/multl18M9_5/n6 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_5/n9 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_6/n1 ;
wire [17:0] \FM_HW/FM_Demodulation/multl18M9_6/n2 ;
wire [26:0] \FM_HW/FM_Demodulation/multl18M9_6/n6 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_6/n9 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_7/n1 ;
wire [17:0] \FM_HW/FM_Demodulation/multl18M9_7/n2 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_7/n9 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_8/n1 ;
wire [17:0] \FM_HW/FM_Demodulation/multl18M9_8/n2 ;
wire [26:0] \FM_HW/FM_Demodulation/multl18M9_8/n6 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_8/n9 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_9/n1 ;
wire [17:0] \FM_HW/FM_Demodulation/multl18M9_9/n2 ;
wire [26:0] \FM_HW/FM_Demodulation/multl18M9_9/n6 ;
wire [31:0] \FM_HW/FM_Demodulation/multl18M9_9/n9 ;
wire [31:0] \FM_HW/FM_Demodulation/multlIN/n1 ;
wire [7:0] \FM_HW/FM_Demodulation/multlIN/n2 ;
wire [31:0] \FM_HW/FM_Demodulation/multlIN/n4 ;
wire [7:0] \FM_HW/FM_Demodulation/multlIN/n5 ;
wire [15:0] \FM_HW/FM_Demodulation/multlIN/n6 ;
wire [31:0] \FM_HW/FM_Demodulation/multlIN/n9 ;
wire [31:0] \FM_HW/FM_Demodulation/multlQN/n1 ;
wire [7:0] \FM_HW/FM_Demodulation/multlQN/n2 ;
wire [31:0] \FM_HW/FM_Demodulation/multlQN/n4 ;
wire [7:0] \FM_HW/FM_Demodulation/multlQN/n5 ;
wire [15:0] \FM_HW/FM_Demodulation/multlQN/n6 ;
wire [31:0] \FM_HW/FM_Demodulation/multlQN/n9 ;
wire [8:0] \FM_HW/FM_Demodulation/n2 ;
wire [26:0] \FM_HW/FM_Demodulation/n3 ;
wire [26:0] \FM_HW/FM_Demodulation/n4 ;
wire [23:0] \FM_HW/FM_Demodulation/n5 ;
wire [26:0] \FM_HW/FM_Demodulation/n7 ;
wire [26:0] \FM_HW/FM_Demodulation/n8 ;
wire [7:0] \FM_HW/FM_RSSI_SCAN/IdataN ; // ../rtl/demodulation/FM_RSSI.v(18)
wire [7:0] \FM_HW/FM_RSSI_SCAN/QdataN ; // ../rtl/demodulation/FM_RSSI.v(19)
wire [29:0] \FM_HW/FM_RSSI_SCAN/RSSI_SUM ; // ../rtl/demodulation/FM_RSSI.v(68)
wire [12:0] \FM_HW/FM_RSSI_SCAN/counter ; // ../rtl/demodulation/FM_RSSI.v(64)
wire [31:0] \FM_HW/FM_RSSI_SCAN/multlII/n1 ;
wire [7:0] \FM_HW/FM_RSSI_SCAN/multlII/n2 ;
wire [15:0] \FM_HW/FM_RSSI_SCAN/multlII/n6 ;
wire [31:0] \FM_HW/FM_RSSI_SCAN/multlQQ/n1 ;
wire [7:0] \FM_HW/FM_RSSI_SCAN/multlQQ/n2 ;
wire [15:0] \FM_HW/FM_RSSI_SCAN/multlQQ/n6 ;
wire [29:0] \FM_HW/FM_RSSI_SCAN/n15 ;
wire [12:0] \FM_HW/FM_RSSI_SCAN/n16 ;
wire [8:0] \FM_HW/FM_RSSI_SCAN/n2 ;
wire [13:0] \FM_HW/demodulated_signal_downsample ; // ../rtl/demodulation/FM_HW.v(192)
wire [16:0] \FM_HW/n0 ;
wire [31:0] \FM_HW/rd_SCAN ; // ../rtl/demodulation/FM_HW.v(31)
wire [3:0] FM_HW_state; // ../rtl/topmodule/CortexM0_SoC.v(580)
wire [31:0] HADDR; // ../rtl/topmodule/CortexM0_SoC.v(77)
wire [31:0] HRDATA; // ../rtl/topmodule/CortexM0_SoC.v(85)
wire [2:0] HSIZE; // ../rtl/topmodule/CortexM0_SoC.v(81)
wire [1:0] HTRANS; // ../rtl/topmodule/CortexM0_SoC.v(82)
wire [31:0] HWDATA; // ../rtl/topmodule/CortexM0_SoC.v(83)
wire [5:0] \Interconncet/SlaveMUX/hsel_reg ; // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(50)
wire [7:0] LED_pad; // ../rtl/topmodule/CortexM0_SoC.v(11)
wire [3:0] \RAMCODE_Interface/size_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(49)
wire [31:0] RAMCODE_RDATA; // ../rtl/topmodule/CortexM0_SoC.v(382)
wire [11:0] RAMCODE_WADDR; // ../rtl/topmodule/CortexM0_SoC.v(383)
wire [3:0] RAMCODE_WRITE; // ../rtl/topmodule/CortexM0_SoC.v(385)
wire [3:0] \RAMDATA_Interface/size_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(49)
wire [31:0] RAMDATA_RDATA; // ../rtl/topmodule/CortexM0_SoC.v(441)
wire [11:0] RAMDATA_WADDR; // ../rtl/topmodule/CortexM0_SoC.v(443)
wire [3:0] RAMDATA_WRITE; // ../rtl/topmodule/CortexM0_SoC.v(445)
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ;
wire [4:0] \SPI_TX/FIFO_SPI/rp ; // ../rtl/peripherals/FIFO_SPI.v(19)
wire [4:0] \SPI_TX/FIFO_SPI/wp ; // ../rtl/peripherals/FIFO_SPI.v(19)
wire [13:0] \SPI_TX/counter ; // ../rtl/peripherals/SPI_TX.v(42)
wire [13:0] \SPI_TX/n31 ;
wire \SPI_TX/sel1/B0 ; // ../rtl/peripherals/SPI_TX.v(78)
wire \SPI_TX/sel1/B24 ; // ../rtl/peripherals/SPI_TX.v(78)
wire [23:0] SPI_TX_Data; // ../rtl/topmodule/CortexM0_SoC.v(537)
wire [3:0] \UART_Interface/addr_reg ; // ../rtl/AHBsubordinate/AHBlite_UART.v(30)
wire [3:0] \UART_RX/counter ; // ../rtl/peripherals/UART_RX.v(23)
wire [3:0] \UART_RX/n7 ;
wire [7:0] \UART_RX/shift_reg ; // ../rtl/peripherals/UART_RX.v(12)
wire [7:0] UART_RX_data; // ../rtl/topmodule/CortexM0_SoC.v(509)
wire [3:0] \UART_TX/FIFO_UART/al_ram_mem_c0_di ;
wire [3:0] \UART_TX/FIFO_UART/al_ram_mem_c0_waddr ;
wire [3:0] \UART_TX/FIFO_UART/al_ram_mem_c1_di ;
wire [3:0] \UART_TX/FIFO_UART/al_ram_mem_c1_waddr ;
wire [3:0] \UART_TX/FIFO_UART/rp ; // ../rtl/peripherals/FIFO_UART.v(17)
wire [3:0] \UART_TX/FIFO_UART/wp ; // ../rtl/peripherals/FIFO_UART.v(17)
wire [7:0] \UART_TX/FIFOdata ; // ../rtl/peripherals/UART_TX.v(15)
wire [3:0] \UART_TX/counter ; // ../rtl/peripherals/UART_TX.v(36)
wire \UART_TX/mux3/B1_1 ; // ../rtl/peripherals/UART_TX.v(67)
wire [7:0] UART_TX_data; // ../rtl/topmodule/CortexM0_SoC.v(510)
wire [12:0] \clkuart_pwm/cnt ; // ../rtl/peripherals/clkuart_pwm.v(11)
wire [12:0] \clkuart_pwm/n4 ;
wire [3:0] col_pad; // ../rtl/topmodule/CortexM0_SoC.v(34)
wire [7:0] \ethernet_i0/gmii_rxd ; // ../rtl/Ethernet/sources_1/imports/src/ethernet_test.v(46)
wire [7:0] \ethernet_i0/gmii_txd ; // ../rtl/Ethernet/sources_1/imports/src/ethernet_test.v(40)
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b10/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b10/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b11/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b11/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b14/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b14/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b15/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b15/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b16/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b16/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b17/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b17/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b18/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b18/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b19/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b19/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b22/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b22/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b23/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b23/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b8/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b8/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b9/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b9/B1_1 ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_waddr ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b12/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b12/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b13/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b13/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b20/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b20/B1_1 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b21/B1_0 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b21/B1_1 ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_waddr ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_di ;
wire [3:0] \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_waddr ;
wire [11:0] \ethernet_i0/mac_test0/count_A ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(56)
wire [11:0] \ethernet_i0/mac_test0/count_B ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(56)
wire [7:0] \ethernet_i0/mac_test0/gmii_rxd_d0 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(23)
wire [7:0] \ethernet_i0/mac_test0/gmii_txd_tmp ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(25)
wire [11:0] \ethernet_i0/mac_test0/i ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(61)
wire [1:0] \ethernet_i0/mac_test0/j ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(62)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(49)
wire [47:0] \ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(50)
wire [79:0] \ethernet_i0/mac_test0/mac_top0/cache0/arp_cache ; // ../rtl/Ethernet/sources_1/mac/arp_cache.v(16)
wire [47:0] \ethernet_i0/mac_test0/mac_top0/destination_mac_addr ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(51)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/icmp0/check_out ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(348)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(349)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(347)
wire [2:0] \ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(351)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(346)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(38)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(34)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(39)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_rdata ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(37)
wire [10:0] \ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_read_addr ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(36)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(29)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(48)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(40)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b10/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b11/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b12/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b13/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b14/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b15/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b16/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b17/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b18/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b19/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b20/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b21/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b22/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b23/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b24/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b25/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b26/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b27/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b28/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b29/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b30/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b31/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b8/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b9/B1_1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(475)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/icmp0/n107 ;
wire [31:0] \ethernet_i0/mac_test0/mac_top0/icmp0/n109 ;
wire [23:0] \ethernet_i0/mac_test0/mac_top0/icmp0/n111 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/icmp0/n116 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/icmp0/n118 ;
wire [11:0] \ethernet_i0/mac_test0/mac_top0/icmp0/n29 ;
wire [16:0] \ethernet_i0/mac_test0/mac_top0/icmp0/n4 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/icmp0/n52 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/icmp0/n57 ;
wire [31:0] \ethernet_i0/mac_test0/mac_top0/icmp0/n82 ;
wire [23:0] \ethernet_i0/mac_test0/mac_top0/icmp0/n84 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/icmp0/n92 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/icmp0/n95 ;
wire [10:0] \ethernet_i0/mac_test0/mac_top0/icmp0/ram_write_addr ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(43)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(466)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(467)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(465)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(464)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sel0_b0/B4 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(80)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sel0_b11/B1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(80)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sel0_b5/B8 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(80)
wire [11:0] \ethernet_i0/mac_test0/mac_top0/icmp0/state ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(67)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/icmp0/timeout ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(47)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/icmp_send_data_length ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(63)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/icmp_tx_data ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(59)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr ; // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(31)
wire [47:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr ; // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(32)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op ; // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(33)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt ; // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(34)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n29 ;
wire [3:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/next_state ; // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(42)
wire [3:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state ; // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(41)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(60)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(61)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(263)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(264)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(262)
wire [2:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(266)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(261)
wire [3:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(48)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(41)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(40)
wire [6:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n3 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 ;
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 ;
wire [4:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(57)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(48)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(55)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(56)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(58)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(59)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(40)
wire [4:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(34)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(47)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(48)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 ;
wire [63:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(37)
wire [3:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(38)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(71)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b1/B8 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(84)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b4/B4 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(84)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b5/B4 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(84)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b6/B4 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(84)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b6/B5 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(84)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b6/B6 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(84)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(53)
wire [47:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(55)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 ;
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(47)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_rx_dataout ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(53)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(44)
wire [47:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(45)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(46)
wire [6:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n18 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/op ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(42)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel0_b0/B8 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(72)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel0_b7/B1 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(72)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b0_var/B4_0 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(254)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b1_var/B1_0 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(254)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b3_var/B1_1 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(254)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b4_var/B1_0 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(254)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(59)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(47)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(64)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(51)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(52)
wire [19:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(251)
wire [19:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(252)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(253)
wire [16:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(242)
wire [16:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(243)
wire [16:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(244)
wire [17:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(247)
wire [17:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp6 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(248)
wire [18:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(249)
wire [19:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(250)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(42)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(44)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(43)
wire [14:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 ;
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n74 ;
wire [16:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 ;
wire [17:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 ;
wire [18:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel0_b5/B4 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(71)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel0_b7/B1 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(71)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b3_var/B0_2 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(356)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(58)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(45)
wire [3:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(46)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(68)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_type ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(82)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(60)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 ;
wire [4:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(48)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(39)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(33)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(35)
wire [3:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(32)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(37)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/sel0_b0/B2_0 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(63)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/sel1_b4_var/B1_0 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(243)
wire [5:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(50)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(39)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(55)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/sel0_b2/B1_0 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(56)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/sel0_b4/B3_0 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(56)
wire [4:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(43)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(34)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(158)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(159)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(157)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(161)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(165)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(168)
wire [16:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp0 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(145)
wire [16:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp2 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(147)
wire [17:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(150)
wire [17:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(151)
wire [18:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(152)
wire [19:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(153)
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(155)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_udp_len ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(167)
wire [5:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(418)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(343)
wire [1:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n1 ;
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 ;
wire [31:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 ;
wire [16:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 ;
wire [16:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 ;
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 ;
wire [3:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 ;
wire [16:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n82 ;
wire [17:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 ;
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(46)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(41)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(43)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(44)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel2_b0/B2_0 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(431)
wire [5:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(67)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(53)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(50)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(49)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(51)
wire [3:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/usedw ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(38)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(71)
wire [7:0] \ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(77)
wire [15:0] \ethernet_i0/mac_test0/mac_top0/n0 ;
wire [6:0] \ethernet_i0/mac_test0/mac_top0/n2 ;
wire [15:0] \ethernet_i0/mac_test0/mac_top0/upper_layer_data_length ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(54)
wire [11:0] \ethernet_i0/mac_test0/n26 ;
wire [11:0] \ethernet_i0/mac_test0/n27 ;
wire [31:0] \ethernet_i0/mac_test0/n72 ;
wire [11:0] \ethernet_i0/mac_test0/n84 ;
wire [7:0] \ethernet_i0/mac_test0/ram_wr_data ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(27)
wire \ethernet_i0/mac_test0/sel0_b0/B9 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(102)
wire \ethernet_i0/mac_test0/sel0_b7/B2 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(102)
wire \ethernet_i0/mac_test0/sel0_b8/B1 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(102)
wire [8:0] \ethernet_i0/mac_test0/state ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(87)
wire [3:0] \ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state ; // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(68)
wire \ethernet_i0/miim_top_m0/miim_control_inst/mux11_b2/B2_1 ; // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(136)
wire \ethernet_i0/miim_top_m0/miim_control_inst/mux13_b2/B2_0 ; // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(136)
wire [23:0] \ethernet_i0/miim_top_m0/miim_control_inst/n9 ;
wire [23:0] \ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter ; // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(113)
wire [3:0] \ethernet_i0/miim_top_m0/miim_control_inst/state ; // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(67)
wire [15:0] \ethernet_i0/miim_top_m0/miim_data_write ; // ../rtl/Ethernet/sources_1/imports/miim/miim_top.vhd(38)
wire [5:0] \ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter ; // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(84)
wire [5:0] \ethernet_i0/miim_top_m0/miim_inst/command_bit_position ; // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(80)
wire [4:0] \ethernet_i0/miim_top_m0/miim_inst/data_bit_position ; // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(82)
wire \ethernet_i0/miim_top_m0/miim_inst/mux2/B1_2 ; // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(122)
wire \ethernet_i0/miim_top_m0/miim_inst/mux2/B1_3 ; // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(122)
wire [5:0] \ethernet_i0/miim_top_m0/miim_inst/n34 ;
wire [3:0] \ethernet_i0/miim_top_m0/miim_inst/state ; // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(63)
wire [4:0] \ethernet_i0/miim_top_m0/miim_register_address ; // ../rtl/Ethernet/sources_1/imports/miim/miim_top.vhd(35)
wire [7:0] \ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s ; // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(47)
wire [3:0] \ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_low ; // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(62)
wire [7:0] \ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r ; // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(54)
wire [7:0] \ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r_d1 ; // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(57)
wire [19:0] \filter_unit/cnt ; // ../rtl/peripherals/KeyScan.v(35)
wire [15:0] \filter_unit/key_reg0 ; // ../rtl/peripherals/KeyScan.v(46)
wire [15:0] \filter_unit/key_reg1 ; // ../rtl/peripherals/KeyScan.v(47)
wire [19:0] \filter_unit/n0 ;
wire [15:0] \filter_unit/n9 ;
wire [31:0] fm_data_ethernet; // ../rtl/topmodule/CortexM0_SoC.v(581)
wire [15:0] key_in; // ../rtl/topmodule/CortexM0_SoC.v(61)
wire [15:0] key_interrupt; // ../rtl/topmodule/CortexM0_SoC.v(60)
wire [15:0] \pulse_gen_unit/key_reg_1 ; // ../rtl/peripherals/KeyScan.v(107)
wire [15:0] \pulse_gen_unit/key_reg_2 ; // ../rtl/peripherals/KeyScan.v(108)
wire [3:0] row_pad; // ../rtl/topmodule/CortexM0_SoC.v(35)
wire [31:0] \scan_unit/cnt ; // ../rtl/peripherals/KeyScan.v(6)
wire [31:0] \scan_unit/n2 ;
wire [7:0] seg_pad; // ../rtl/topmodule/CortexM0_SoC.v(20)
wire [3:0] sel_pad; // ../rtl/topmodule/CortexM0_SoC.v(19)
wire [31:0] \u_logic/Idfpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1528)
wire [23:0] \u_logic/L6gpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1545)
wire [31:0] \u_logic/Mifpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1531)
wire [30:2] \u_logic/N5fpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1523)
wire [31:0] \u_logic/Ntkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1719)
wire [31:0] \u_logic/Nvkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1720)
wire [33:0] \u_logic/Nxkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1721)
wire [30:0] \u_logic/Qbfpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1527)
wire [31:0] \u_logic/Tgfpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1530)
wire [7:0] \u_logic/Vnfpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1534)
wire [33:0] \u_logic/Vrkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1718)
wire [8:1] \u_logic/Xlfpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1533)
wire [30:0] \u_logic/Zsfpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1537)
wire [13:0] \u_logic/n135 ;
wire [13:0] \u_logic/n159 ;
wire CDBGPWRUPACK; // ../rtl/topmodule/CortexM0_SoC.v(104)
wire CDBGPWRUPREQ; // ../rtl/topmodule/CortexM0_SoC.v(103)
wire CW_CLK_MSI /* synthesis keep=1 */ ; // ../rtl/topmodule/CortexM0_SoC.v(672)
wire \FMDATA_Interface/n10 ;
wire \FMDATA_Interface/n15 ;
wire \FMDATA_Interface/sel0_b0_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
wire \FMDATA_Interface/sel0_b1_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
wire \FMDATA_Interface/sel0_b2_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
wire \FMDATA_Interface/sel0_b3_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
wire \FMDATA_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(63)
wire \FM_Display/ChannelNO_or_FREQ ; // ../rtl/peripherals/FM_Display.v(97)
wire \FM_Display/add0/c11 ; // ../rtl/peripherals/FM_Display.v(66)
wire \FM_Display/add0/c15 ; // ../rtl/peripherals/FM_Display.v(66)
wire \FM_Display/add0/c19 ; // ../rtl/peripherals/FM_Display.v(66)
wire \FM_Display/add0/c23 ; // ../rtl/peripherals/FM_Display.v(66)
wire \FM_Display/add0/c3 ; // ../rtl/peripherals/FM_Display.v(66)
wire \FM_Display/add0/c7 ; // ../rtl/peripherals/FM_Display.v(66)
wire \FM_Display/add1/c11 ; // ../rtl/peripherals/FM_Display.v(90)
wire \FM_Display/add1/c15 ; // ../rtl/peripherals/FM_Display.v(90)
wire \FM_Display/add1/c3 ; // ../rtl/peripherals/FM_Display.v(90)
wire \FM_Display/add1/c7 ; // ../rtl/peripherals/FM_Display.v(90)
wire \FM_Display/clk_1Hz ; // ../rtl/peripherals/FM_Display.v(20)
wire \FM_Display/clk_1KHz ; // ../rtl/peripherals/FM_Display.v(72)
wire \FM_Display/ctrl_channel_NO ; // ../rtl/peripherals/FM_Display.v(109)
wire \FM_Display/lt0_c1 ;
wire \FM_Display/lt0_c11 ;
wire \FM_Display/lt0_c13 ;
wire \FM_Display/lt0_c15 ;
wire \FM_Display/lt0_c17 ;
wire \FM_Display/lt0_c19 ;
wire \FM_Display/lt0_c21 ;
wire \FM_Display/lt0_c23 ;
wire \FM_Display/lt0_c25 ;
wire \FM_Display/lt0_c3 ;
wire \FM_Display/lt0_c5 ;
wire \FM_Display/lt0_c7 ;
wire \FM_Display/lt0_c9 ;
wire \FM_Display/lt1_c1 ;
wire \FM_Display/lt1_c11 ;
wire \FM_Display/lt1_c13 ;
wire \FM_Display/lt1_c15 ;
wire \FM_Display/lt1_c3 ;
wire \FM_Display/lt1_c5 ;
wire \FM_Display/lt1_c7 ;
wire \FM_Display/lt1_c9 ;
wire \FM_Display/lt2/o_3_lutinv ; // ../rtl/peripherals/FM_Display.v(116)
wire \FM_Display/n11 ;
wire \FM_Display/n19 ;
wire \FM_Display/n29 ;
wire \FM_Display/n3 ;
wire \FM_Display/n89 ;
wire \FM_HW/ADC_CLK ; // ../rtl/demodulation/FM_HW.v(84)
wire \FM_HW/Audio_PWM/N ; // ../rtl/peripherals/Audio_PWM.v(19)
wire \FM_HW/Audio_PWM/N_1 ; // ../rtl/peripherals/Audio_PWM.v(18)
wire \FM_HW/Audio_PWM/add0/c11 ; // ../rtl/peripherals/Audio_PWM.v(29)
wire \FM_HW/Audio_PWM/add0/c15 ; // ../rtl/peripherals/Audio_PWM.v(29)
wire \FM_HW/Audio_PWM/add0/c3 ; // ../rtl/peripherals/Audio_PWM.v(29)
wire \FM_HW/Audio_PWM/add0/c7 ; // ../rtl/peripherals/Audio_PWM.v(29)
wire \FM_HW/Audio_PWM/audio_pwm_reg ; // ../rtl/peripherals/Audio_PWM.v(16)
wire \FM_HW/Audio_PWM/lt1_c1 ;
wire \FM_HW/Audio_PWM/lt1_c11 ;
wire \FM_HW/Audio_PWM/lt1_c13 ;
wire \FM_HW/Audio_PWM/lt1_c15 ;
wire \FM_HW/Audio_PWM/lt1_c3 ;
wire \FM_HW/Audio_PWM/lt1_c5 ;
wire \FM_HW/Audio_PWM/lt1_c7 ;
wire \FM_HW/Audio_PWM/lt1_c9 ;
wire \FM_HW/CW_CLK /* synthesis keep=1 */ ; // ../rtl/demodulation/FM_HW.v(83)
wire \FM_HW/EOC ; // ../rtl/demodulation/FM_HW.v(29)
wire \FM_HW/EOC_gclk_net ;
wire \FM_HW/FM_Demodulation/EOC_Count_Demodulate ; // ../rtl/demodulation/FM_Demodulation.v(38)
wire \FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ;
wire \FM_HW/FM_Demodulation/I2S_BCLK_pad ; // ../rtl/demodulation/FM_Demodulation.v(15)
wire \FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ;
wire \FM_HW/FM_Demodulation/I2S_LRCLK_pad ; // ../rtl/demodulation/FM_Demodulation.v(16)
wire \FM_HW/FM_Demodulation/I2S_SDATA_pad ; // ../rtl/demodulation/FM_Demodulation.v(14)
wire \FM_HW/FM_Demodulation/I2S_TX/N ; // ../rtl/libs/I2S_TX.v(41)
wire \FM_HW/FM_Demodulation/I2S_TX/N_1 ; // ../rtl/libs/I2S_TX.v(40)
wire \FM_HW/FM_Demodulation/I2S_TX/add0/c1 ; // ../rtl/libs/I2S_TX.v(52)
wire \FM_HW/FM_Demodulation/I2S_TX/add0/c3 ; // ../rtl/libs/I2S_TX.v(52)
wire \FM_HW/FM_Demodulation/I2S_TX/add0/c5 ; // ../rtl/libs/I2S_TX.v(52)
wire \FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/c1 ; // ../rtl/libs/I2S_1M.v(17)
wire \FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/c3 ; // ../rtl/libs/I2S_1M.v(17)
wire \FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/c5 ; // ../rtl/libs/I2S_1M.v(17)
wire \FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_c1 ;
wire \FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_c3 ;
wire \FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_c5 ;
wire \FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n1 ;
wire \FM_HW/FM_Demodulation/_al_u524_o ;
wire \FM_HW/FM_Demodulation/_al_u525_o ;
wire \FM_HW/FM_Demodulation/_al_u526_o ;
wire \FM_HW/FM_Demodulation/_al_u530_o ;
wire \FM_HW/FM_Demodulation/_al_u533_o ;
wire \FM_HW/FM_Demodulation/_al_u534_o ;
wire \FM_HW/FM_Demodulation/_al_u535_o ;
wire \FM_HW/FM_Demodulation/_al_u536_o ;
wire \FM_HW/FM_Demodulation/_al_u537_o ;
wire \FM_HW/FM_Demodulation/_al_u538_o ;
wire \FM_HW/FM_Demodulation/_al_u540_o ;
wire \FM_HW/FM_Demodulation/_al_u541_o ;
wire \FM_HW/FM_Demodulation/_al_u542_o ;
wire \FM_HW/FM_Demodulation/_al_u543_o ;
wire \FM_HW/FM_Demodulation/_al_u544_o ;
wire \FM_HW/FM_Demodulation/_al_u545_o ;
wire \FM_HW/FM_Demodulation/_al_u546_o ;
wire \FM_HW/FM_Demodulation/_al_u547_o ;
wire \FM_HW/FM_Demodulation/_al_u548_o ;
wire \FM_HW/FM_Demodulation/_al_u549_o ;
wire \FM_HW/FM_Demodulation/_al_u550_o ;
wire \FM_HW/FM_Demodulation/_al_u551_o ;
wire \FM_HW/FM_Demodulation/_al_u554_o ;
wire \FM_HW/FM_Demodulation/_al_u555_o ;
wire \FM_HW/FM_Demodulation/_al_u556_o ;
wire \FM_HW/FM_Demodulation/_al_u557_o ;
wire \FM_HW/FM_Demodulation/_al_u558_o ;
wire \FM_HW/FM_Demodulation/_al_u559_o ;
wire \FM_HW/FM_Demodulation/_al_u560_o ;
wire \FM_HW/FM_Demodulation/_al_u562_o ;
wire \FM_HW/FM_Demodulation/_al_u563_o ;
wire \FM_HW/FM_Demodulation/_al_u564_o ;
wire \FM_HW/FM_Demodulation/_al_u565_o ;
wire \FM_HW/FM_Demodulation/_al_u566_o ;
wire \FM_HW/FM_Demodulation/add/sub0/c1 ; // ../rtl/libs/lib_adsb.v(39)
wire \FM_HW/FM_Demodulation/add/sub0/c11 ; // ../rtl/libs/lib_adsb.v(39)
wire \FM_HW/FM_Demodulation/add/sub0/c13 ; // ../rtl/libs/lib_adsb.v(39)
wire \FM_HW/FM_Demodulation/add/sub0/c15 ; // ../rtl/libs/lib_adsb.v(39)
wire \FM_HW/FM_Demodulation/add/sub0/c3 ; // ../rtl/libs/lib_adsb.v(39)
wire \FM_HW/FM_Demodulation/add/sub0/c5 ; // ../rtl/libs/lib_adsb.v(39)
wire \FM_HW/FM_Demodulation/add/sub0/c7 ; // ../rtl/libs/lib_adsb.v(39)
wire \FM_HW/FM_Demodulation/add/sub0/c9 ; // ../rtl/libs/lib_adsb.v(39)
wire \FM_HW/FM_Demodulation/add0/c1 ; // ../rtl/demodulation/FM_Demodulation.v(125)
wire \FM_HW/FM_Demodulation/add0/c11 ; // ../rtl/demodulation/FM_Demodulation.v(125)
wire \FM_HW/FM_Demodulation/add0/c13 ; // ../rtl/demodulation/FM_Demodulation.v(125)
wire \FM_HW/FM_Demodulation/add0/c15 ; // ../rtl/demodulation/FM_Demodulation.v(125)
wire \FM_HW/FM_Demodulation/add0/c17 ; // ../rtl/demodulation/FM_Demodulation.v(125)
wire \FM_HW/FM_Demodulation/add0/c3 ; // ../rtl/demodulation/FM_Demodulation.v(125)
wire \FM_HW/FM_Demodulation/add0/c5 ; // ../rtl/demodulation/FM_Demodulation.v(125)
wire \FM_HW/FM_Demodulation/add0/c7 ; // ../rtl/demodulation/FM_Demodulation.v(125)
wire \FM_HW/FM_Demodulation/add0/c9 ; // ../rtl/demodulation/FM_Demodulation.v(125)
wire \FM_HW/FM_Demodulation/add1/c1 ; // ../rtl/demodulation/FM_Demodulation.v(126)
wire \FM_HW/FM_Demodulation/add1/c11 ; // ../rtl/demodulation/FM_Demodulation.v(126)
wire \FM_HW/FM_Demodulation/add1/c13 ; // ../rtl/demodulation/FM_Demodulation.v(126)
wire \FM_HW/FM_Demodulation/add1/c15 ; // ../rtl/demodulation/FM_Demodulation.v(126)
wire \FM_HW/FM_Demodulation/add1/c17 ; // ../rtl/demodulation/FM_Demodulation.v(126)
wire \FM_HW/FM_Demodulation/add1/c3 ; // ../rtl/demodulation/FM_Demodulation.v(126)
wire \FM_HW/FM_Demodulation/add1/c5 ; // ../rtl/demodulation/FM_Demodulation.v(126)
wire \FM_HW/FM_Demodulation/add1/c7 ; // ../rtl/demodulation/FM_Demodulation.v(126)
wire \FM_HW/FM_Demodulation/add1/c9 ; // ../rtl/demodulation/FM_Demodulation.v(126)
wire \FM_HW/FM_Demodulation/add10/c11 ; // ../rtl/demodulation/FM_Demodulation.v(203)
wire \FM_HW/FM_Demodulation/add10/c15 ; // ../rtl/demodulation/FM_Demodulation.v(203)
wire \FM_HW/FM_Demodulation/add10/c19 ; // ../rtl/demodulation/FM_Demodulation.v(203)
wire \FM_HW/FM_Demodulation/add10/c23 ; // ../rtl/demodulation/FM_Demodulation.v(203)
wire \FM_HW/FM_Demodulation/add10/c3 ; // ../rtl/demodulation/FM_Demodulation.v(203)
wire \FM_HW/FM_Demodulation/add10/c7 ; // ../rtl/demodulation/FM_Demodulation.v(203)
wire \FM_HW/FM_Demodulation/add11/c11 ; // ../rtl/demodulation/FM_Demodulation.v(204)
wire \FM_HW/FM_Demodulation/add11/c15 ; // ../rtl/demodulation/FM_Demodulation.v(204)
wire \FM_HW/FM_Demodulation/add11/c19 ; // ../rtl/demodulation/FM_Demodulation.v(204)
wire \FM_HW/FM_Demodulation/add11/c23 ; // ../rtl/demodulation/FM_Demodulation.v(204)
wire \FM_HW/FM_Demodulation/add11/c27 ; // ../rtl/demodulation/FM_Demodulation.v(204)
wire \FM_HW/FM_Demodulation/add11/c3 ; // ../rtl/demodulation/FM_Demodulation.v(204)
wire \FM_HW/FM_Demodulation/add11/c7 ; // ../rtl/demodulation/FM_Demodulation.v(204)
wire \FM_HW/FM_Demodulation/add12/c11 ; // ../rtl/demodulation/FM_Demodulation.v(205)
wire \FM_HW/FM_Demodulation/add12/c15 ; // ../rtl/demodulation/FM_Demodulation.v(205)
wire \FM_HW/FM_Demodulation/add12/c19 ; // ../rtl/demodulation/FM_Demodulation.v(205)
wire \FM_HW/FM_Demodulation/add12/c23 ; // ../rtl/demodulation/FM_Demodulation.v(205)
wire \FM_HW/FM_Demodulation/add12/c3 ; // ../rtl/demodulation/FM_Demodulation.v(205)
wire \FM_HW/FM_Demodulation/add12/c7 ; // ../rtl/demodulation/FM_Demodulation.v(205)
wire \FM_HW/FM_Demodulation/add13/c11 ; // ../rtl/demodulation/FM_Demodulation.v(206)
wire \FM_HW/FM_Demodulation/add13/c15 ; // ../rtl/demodulation/FM_Demodulation.v(206)
wire \FM_HW/FM_Demodulation/add13/c19 ; // ../rtl/demodulation/FM_Demodulation.v(206)
wire \FM_HW/FM_Demodulation/add13/c23 ; // ../rtl/demodulation/FM_Demodulation.v(206)
wire \FM_HW/FM_Demodulation/add13/c3 ; // ../rtl/demodulation/FM_Demodulation.v(206)
wire \FM_HW/FM_Demodulation/add13/c7 ; // ../rtl/demodulation/FM_Demodulation.v(206)
wire \FM_HW/FM_Demodulation/add14/c11 ; // ../rtl/demodulation/FM_Demodulation.v(207)
wire \FM_HW/FM_Demodulation/add14/c15 ; // ../rtl/demodulation/FM_Demodulation.v(207)
wire \FM_HW/FM_Demodulation/add14/c19 ; // ../rtl/demodulation/FM_Demodulation.v(207)
wire \FM_HW/FM_Demodulation/add14/c23 ; // ../rtl/demodulation/FM_Demodulation.v(207)
wire \FM_HW/FM_Demodulation/add14/c3 ; // ../rtl/demodulation/FM_Demodulation.v(207)
wire \FM_HW/FM_Demodulation/add14/c7 ; // ../rtl/demodulation/FM_Demodulation.v(207)
wire \FM_HW/FM_Demodulation/add15/c11 ; // ../rtl/demodulation/FM_Demodulation.v(211)
wire \FM_HW/FM_Demodulation/add15/c15 ; // ../rtl/demodulation/FM_Demodulation.v(211)
wire \FM_HW/FM_Demodulation/add15/c19 ; // ../rtl/demodulation/FM_Demodulation.v(211)
wire \FM_HW/FM_Demodulation/add15/c23 ; // ../rtl/demodulation/FM_Demodulation.v(211)
wire \FM_HW/FM_Demodulation/add15/c27 ; // ../rtl/demodulation/FM_Demodulation.v(211)
wire \FM_HW/FM_Demodulation/add15/c3 ; // ../rtl/demodulation/FM_Demodulation.v(211)
wire \FM_HW/FM_Demodulation/add15/c7 ; // ../rtl/demodulation/FM_Demodulation.v(211)
wire \FM_HW/FM_Demodulation/add16/c11 ; // ../rtl/demodulation/FM_Demodulation.v(212)
wire \FM_HW/FM_Demodulation/add16/c15 ; // ../rtl/demodulation/FM_Demodulation.v(212)
wire \FM_HW/FM_Demodulation/add16/c19 ; // ../rtl/demodulation/FM_Demodulation.v(212)
wire \FM_HW/FM_Demodulation/add16/c23 ; // ../rtl/demodulation/FM_Demodulation.v(212)
wire \FM_HW/FM_Demodulation/add16/c27 ; // ../rtl/demodulation/FM_Demodulation.v(212)
wire \FM_HW/FM_Demodulation/add16/c3 ; // ../rtl/demodulation/FM_Demodulation.v(212)
wire \FM_HW/FM_Demodulation/add16/c7 ; // ../rtl/demodulation/FM_Demodulation.v(212)
wire \FM_HW/FM_Demodulation/add17/c11 ; // ../rtl/demodulation/FM_Demodulation.v(213)
wire \FM_HW/FM_Demodulation/add17/c15 ; // ../rtl/demodulation/FM_Demodulation.v(213)
wire \FM_HW/FM_Demodulation/add17/c19 ; // ../rtl/demodulation/FM_Demodulation.v(213)
wire \FM_HW/FM_Demodulation/add17/c23 ; // ../rtl/demodulation/FM_Demodulation.v(213)
wire \FM_HW/FM_Demodulation/add17/c3 ; // ../rtl/demodulation/FM_Demodulation.v(213)
wire \FM_HW/FM_Demodulation/add17/c7 ; // ../rtl/demodulation/FM_Demodulation.v(213)
wire \FM_HW/FM_Demodulation/add18/c11 ; // ../rtl/demodulation/FM_Demodulation.v(214)
wire \FM_HW/FM_Demodulation/add18/c15 ; // ../rtl/demodulation/FM_Demodulation.v(214)
wire \FM_HW/FM_Demodulation/add18/c19 ; // ../rtl/demodulation/FM_Demodulation.v(214)
wire \FM_HW/FM_Demodulation/add18/c23 ; // ../rtl/demodulation/FM_Demodulation.v(214)
wire \FM_HW/FM_Demodulation/add18/c27 ; // ../rtl/demodulation/FM_Demodulation.v(214)
wire \FM_HW/FM_Demodulation/add18/c3 ; // ../rtl/demodulation/FM_Demodulation.v(214)
wire \FM_HW/FM_Demodulation/add18/c7 ; // ../rtl/demodulation/FM_Demodulation.v(214)
wire \FM_HW/FM_Demodulation/add19/c11 ; // ../rtl/demodulation/FM_Demodulation.v(215)
wire \FM_HW/FM_Demodulation/add19/c15 ; // ../rtl/demodulation/FM_Demodulation.v(215)
wire \FM_HW/FM_Demodulation/add19/c19 ; // ../rtl/demodulation/FM_Demodulation.v(215)
wire \FM_HW/FM_Demodulation/add19/c23 ; // ../rtl/demodulation/FM_Demodulation.v(215)
wire \FM_HW/FM_Demodulation/add19/c27 ; // ../rtl/demodulation/FM_Demodulation.v(215)
wire \FM_HW/FM_Demodulation/add19/c3 ; // ../rtl/demodulation/FM_Demodulation.v(215)
wire \FM_HW/FM_Demodulation/add19/c7 ; // ../rtl/demodulation/FM_Demodulation.v(215)
wire \FM_HW/FM_Demodulation/add2/c1 ; // ../rtl/demodulation/FM_Demodulation.v(127)
wire \FM_HW/FM_Demodulation/add2/c11 ; // ../rtl/demodulation/FM_Demodulation.v(127)
wire \FM_HW/FM_Demodulation/add2/c13 ; // ../rtl/demodulation/FM_Demodulation.v(127)
wire \FM_HW/FM_Demodulation/add2/c15 ; // ../rtl/demodulation/FM_Demodulation.v(127)
wire \FM_HW/FM_Demodulation/add2/c17 ; // ../rtl/demodulation/FM_Demodulation.v(127)
wire \FM_HW/FM_Demodulation/add2/c3 ; // ../rtl/demodulation/FM_Demodulation.v(127)
wire \FM_HW/FM_Demodulation/add2/c5 ; // ../rtl/demodulation/FM_Demodulation.v(127)
wire \FM_HW/FM_Demodulation/add2/c7 ; // ../rtl/demodulation/FM_Demodulation.v(127)
wire \FM_HW/FM_Demodulation/add2/c9 ; // ../rtl/demodulation/FM_Demodulation.v(127)
wire \FM_HW/FM_Demodulation/add3/c1 ; // ../rtl/demodulation/FM_Demodulation.v(128)
wire \FM_HW/FM_Demodulation/add3/c11 ; // ../rtl/demodulation/FM_Demodulation.v(128)
wire \FM_HW/FM_Demodulation/add3/c13 ; // ../rtl/demodulation/FM_Demodulation.v(128)
wire \FM_HW/FM_Demodulation/add3/c15 ; // ../rtl/demodulation/FM_Demodulation.v(128)
wire \FM_HW/FM_Demodulation/add3/c17 ; // ../rtl/demodulation/FM_Demodulation.v(128)
wire \FM_HW/FM_Demodulation/add3/c3 ; // ../rtl/demodulation/FM_Demodulation.v(128)
wire \FM_HW/FM_Demodulation/add3/c5 ; // ../rtl/demodulation/FM_Demodulation.v(128)
wire \FM_HW/FM_Demodulation/add3/c7 ; // ../rtl/demodulation/FM_Demodulation.v(128)
wire \FM_HW/FM_Demodulation/add3/c9 ; // ../rtl/demodulation/FM_Demodulation.v(128)
wire \FM_HW/FM_Demodulation/add4/c1 ; // ../rtl/demodulation/FM_Demodulation.v(129)
wire \FM_HW/FM_Demodulation/add4/c11 ; // ../rtl/demodulation/FM_Demodulation.v(129)
wire \FM_HW/FM_Demodulation/add4/c13 ; // ../rtl/demodulation/FM_Demodulation.v(129)
wire \FM_HW/FM_Demodulation/add4/c15 ; // ../rtl/demodulation/FM_Demodulation.v(129)
wire \FM_HW/FM_Demodulation/add4/c17 ; // ../rtl/demodulation/FM_Demodulation.v(129)
wire \FM_HW/FM_Demodulation/add4/c3 ; // ../rtl/demodulation/FM_Demodulation.v(129)
wire \FM_HW/FM_Demodulation/add4/c5 ; // ../rtl/demodulation/FM_Demodulation.v(129)
wire \FM_HW/FM_Demodulation/add4/c7 ; // ../rtl/demodulation/FM_Demodulation.v(129)
wire \FM_HW/FM_Demodulation/add4/c9 ; // ../rtl/demodulation/FM_Demodulation.v(129)
wire \FM_HW/FM_Demodulation/add5/c1 ; // ../rtl/demodulation/FM_Demodulation.v(130)
wire \FM_HW/FM_Demodulation/add5/c11 ; // ../rtl/demodulation/FM_Demodulation.v(130)
wire \FM_HW/FM_Demodulation/add5/c13 ; // ../rtl/demodulation/FM_Demodulation.v(130)
wire \FM_HW/FM_Demodulation/add5/c15 ; // ../rtl/demodulation/FM_Demodulation.v(130)
wire \FM_HW/FM_Demodulation/add5/c17 ; // ../rtl/demodulation/FM_Demodulation.v(130)
wire \FM_HW/FM_Demodulation/add5/c3 ; // ../rtl/demodulation/FM_Demodulation.v(130)
wire \FM_HW/FM_Demodulation/add5/c5 ; // ../rtl/demodulation/FM_Demodulation.v(130)
wire \FM_HW/FM_Demodulation/add5/c7 ; // ../rtl/demodulation/FM_Demodulation.v(130)
wire \FM_HW/FM_Demodulation/add5/c9 ; // ../rtl/demodulation/FM_Demodulation.v(130)
wire \FM_HW/FM_Demodulation/add6/c1 ; // ../rtl/demodulation/FM_Demodulation.v(131)
wire \FM_HW/FM_Demodulation/add6/c11 ; // ../rtl/demodulation/FM_Demodulation.v(131)
wire \FM_HW/FM_Demodulation/add6/c13 ; // ../rtl/demodulation/FM_Demodulation.v(131)
wire \FM_HW/FM_Demodulation/add6/c15 ; // ../rtl/demodulation/FM_Demodulation.v(131)
wire \FM_HW/FM_Demodulation/add6/c17 ; // ../rtl/demodulation/FM_Demodulation.v(131)
wire \FM_HW/FM_Demodulation/add6/c3 ; // ../rtl/demodulation/FM_Demodulation.v(131)
wire \FM_HW/FM_Demodulation/add6/c5 ; // ../rtl/demodulation/FM_Demodulation.v(131)
wire \FM_HW/FM_Demodulation/add6/c7 ; // ../rtl/demodulation/FM_Demodulation.v(131)
wire \FM_HW/FM_Demodulation/add6/c9 ; // ../rtl/demodulation/FM_Demodulation.v(131)
wire \FM_HW/FM_Demodulation/add7/c1 ; // ../rtl/demodulation/FM_Demodulation.v(132)
wire \FM_HW/FM_Demodulation/add7/c11 ; // ../rtl/demodulation/FM_Demodulation.v(132)
wire \FM_HW/FM_Demodulation/add7/c13 ; // ../rtl/demodulation/FM_Demodulation.v(132)
wire \FM_HW/FM_Demodulation/add7/c15 ; // ../rtl/demodulation/FM_Demodulation.v(132)
wire \FM_HW/FM_Demodulation/add7/c17 ; // ../rtl/demodulation/FM_Demodulation.v(132)
wire \FM_HW/FM_Demodulation/add7/c3 ; // ../rtl/demodulation/FM_Demodulation.v(132)
wire \FM_HW/FM_Demodulation/add7/c5 ; // ../rtl/demodulation/FM_Demodulation.v(132)
wire \FM_HW/FM_Demodulation/add7/c7 ; // ../rtl/demodulation/FM_Demodulation.v(132)
wire \FM_HW/FM_Demodulation/add7/c9 ; // ../rtl/demodulation/FM_Demodulation.v(132)
wire \FM_HW/FM_Demodulation/add8/c1 ; // ../rtl/demodulation/FM_Demodulation.v(133)
wire \FM_HW/FM_Demodulation/add8/c11 ; // ../rtl/demodulation/FM_Demodulation.v(133)
wire \FM_HW/FM_Demodulation/add8/c13 ; // ../rtl/demodulation/FM_Demodulation.v(133)
wire \FM_HW/FM_Demodulation/add8/c15 ; // ../rtl/demodulation/FM_Demodulation.v(133)
wire \FM_HW/FM_Demodulation/add8/c17 ; // ../rtl/demodulation/FM_Demodulation.v(133)
wire \FM_HW/FM_Demodulation/add8/c3 ; // ../rtl/demodulation/FM_Demodulation.v(133)
wire \FM_HW/FM_Demodulation/add8/c5 ; // ../rtl/demodulation/FM_Demodulation.v(133)
wire \FM_HW/FM_Demodulation/add8/c7 ; // ../rtl/demodulation/FM_Demodulation.v(133)
wire \FM_HW/FM_Demodulation/add8/c9 ; // ../rtl/demodulation/FM_Demodulation.v(133)
wire \FM_HW/FM_Demodulation/add9/c1 ; // ../rtl/demodulation/FM_Demodulation.v(134)
wire \FM_HW/FM_Demodulation/add9/c11 ; // ../rtl/demodulation/FM_Demodulation.v(134)
wire \FM_HW/FM_Demodulation/add9/c13 ; // ../rtl/demodulation/FM_Demodulation.v(134)
wire \FM_HW/FM_Demodulation/add9/c15 ; // ../rtl/demodulation/FM_Demodulation.v(134)
wire \FM_HW/FM_Demodulation/add9/c17 ; // ../rtl/demodulation/FM_Demodulation.v(134)
wire \FM_HW/FM_Demodulation/add9/c3 ; // ../rtl/demodulation/FM_Demodulation.v(134)
wire \FM_HW/FM_Demodulation/add9/c5 ; // ../rtl/demodulation/FM_Demodulation.v(134)
wire \FM_HW/FM_Demodulation/add9/c7 ; // ../rtl/demodulation/FM_Demodulation.v(134)
wire \FM_HW/FM_Demodulation/add9/c9 ; // ../rtl/demodulation/FM_Demodulation.v(134)
wire \FM_HW/FM_Demodulation/fm_sample/add0/c1 ; // ../rtl/demodulation/clk_fm_demodulation.v(19)
wire \FM_HW/FM_Demodulation/fm_sample/add0/c3 ; // ../rtl/demodulation/clk_fm_demodulation.v(19)
wire \FM_HW/FM_Demodulation/fm_sample/add0/c5 ; // ../rtl/demodulation/clk_fm_demodulation.v(19)
wire \FM_HW/FM_Demodulation/fm_sample/lt0_c1 ;
wire \FM_HW/FM_Demodulation/fm_sample/lt0_c3 ;
wire \FM_HW/FM_Demodulation/fm_sample/lt0_c5 ;
wire \FM_HW/FM_Demodulation/fm_sample/n2 ;
wire \FM_HW/FM_Demodulation/multl18M9_0/add0/c1 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_0/add0/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_0/add0/c13 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_0/add0/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_0/add0/c17 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_0/add0/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_0/add0/c5 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_0/add0/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_0/add0/c9 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_0/add2_2/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_0/add2_2/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_0/add2_2/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_0/add2_2/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_1/add0/c1 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_1/add0/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_1/add0/c13 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_1/add0/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_1/add0/c17 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_1/add0/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_1/add0/c5 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_1/add0/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_1/add0/c9 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_1/add2/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_1/add2/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_1/add2/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_1/add2/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_10/add0/c1 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_10/add0/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_10/add0/c13 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_10/add0/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_10/add0/c17 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_10/add0/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_10/add0/c5 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_10/add0/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_10/add0/c9 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_10/add2_2/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_10/add2_2/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_10/add2_2/c19 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_10/add2_2/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_10/add2_2/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_2/add0/c1 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_2/add0/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_2/add0/c13 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_2/add0/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_2/add0/c17 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_2/add0/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_2/add0/c5 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_2/add0/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_2/add0/c9 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_2/add2_2/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_2/add2_2/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_2/add2_2/c19 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_2/add2_2/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_2/add2_2/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_3/add0/c1 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_3/add0/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_3/add0/c13 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_3/add0/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_3/add0/c17 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_3/add0/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_3/add0/c5 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_3/add0/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_3/add0/c9 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_3/add2_2/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_3/add2_2/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_3/add2_2/c19 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_3/add2_2/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_3/add2_2/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_4/add0/c1 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_4/add0/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_4/add0/c13 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_4/add0/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_4/add0/c17 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_4/add0/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_4/add0/c5 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_4/add0/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_4/add0/c9 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_4/add2_2/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_4/add2_2/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_4/add2_2/c19 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_4/add2_2/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_4/add2_2/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_5/add0/c1 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_5/add0/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_5/add0/c13 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_5/add0/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_5/add0/c17 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_5/add0/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_5/add0/c5 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_5/add0/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_5/add0/c9 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_5/add2/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_5/add2/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_5/add2/c19 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_5/add2/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_5/add2/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_6/add0/c1 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_6/add0/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_6/add0/c13 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_6/add0/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_6/add0/c17 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_6/add0/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_6/add0/c5 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_6/add0/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_6/add0/c9 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_6/add2_2/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_6/add2_2/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_6/add2_2/c19 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_6/add2_2/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_6/add2_2/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_7/add0/c1 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_7/add0/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_7/add0/c13 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_7/add0/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_7/add0/c17 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_7/add0/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_7/add0/c5 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_7/add0/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_7/add0/c9 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_7/add2_2/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_7/add2_2/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_7/add2_2/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_7/add2_2/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_8/add0/c1 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_8/add0/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_8/add0/c13 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_8/add0/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_8/add0/c17 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_8/add0/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_8/add0/c5 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_8/add0/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_8/add0/c9 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_8/add2/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_8/add2/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_8/add2/c19 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_8/add2/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_8/add2/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_9/add0/c1 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_9/add0/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_9/add0/c13 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_9/add0/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_9/add0/c17 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_9/add0/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_9/add0/c5 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_9/add0/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_9/add0/c9 ; // ../rtl/libs/lib_mult_18M9bit.v(32)
wire \FM_HW/FM_Demodulation/multl18M9_9/add2_2/c11 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_9/add2_2/c15 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_9/add2_2/c19 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_9/add2_2/c23 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_9/add2_2/c3 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multl18M9_9/add2_2/c7 ; // ../rtl/libs/lib_mult_18M9bit.v(45)
wire \FM_HW/FM_Demodulation/multlIN/add0/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_Demodulation/multlIN/add0/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_Demodulation/multlIN/add0/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_Demodulation/multlIN/add0/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_Demodulation/multlIN/add1/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(38)
wire \FM_HW/FM_Demodulation/multlIN/add1/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(38)
wire \FM_HW/FM_Demodulation/multlIN/add1/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(38)
wire \FM_HW/FM_Demodulation/multlIN/add1/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(38)
wire \FM_HW/FM_Demodulation/multlIN/add2/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlIN/add2/c11 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlIN/add2/c13 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlIN/add2/c15 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlIN/add2/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlIN/add2/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlIN/add2/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlIN/add2/c9 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlQN/add0/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_Demodulation/multlQN/add0/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_Demodulation/multlQN/add0/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_Demodulation/multlQN/add0/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_Demodulation/multlQN/add1/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(38)
wire \FM_HW/FM_Demodulation/multlQN/add1/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(38)
wire \FM_HW/FM_Demodulation/multlQN/add1/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(38)
wire \FM_HW/FM_Demodulation/multlQN/add1/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(38)
wire \FM_HW/FM_Demodulation/multlQN/add2/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlQN/add2/c11 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlQN/add2/c13 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlQN/add2/c15 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlQN/add2/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlQN/add2/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlQN/add2/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/multlQN/add2/c9 ; // ../rtl/demodulation/lib_mult_8bit.v(46)
wire \FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ;
wire \FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ;
wire \FM_HW/FM_Demodulation/n0 ;
wire \FM_HW/FM_Demodulation/sub0/c1 ; // ../rtl/demodulation/FM_Demodulation.v(65)
wire \FM_HW/FM_Demodulation/sub0/c3 ; // ../rtl/demodulation/FM_Demodulation.v(65)
wire \FM_HW/FM_Demodulation/sub0/c5 ; // ../rtl/demodulation/FM_Demodulation.v(65)
wire \FM_HW/FM_Demodulation/sub0/c7 ; // ../rtl/demodulation/FM_Demodulation.v(65)
wire \FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate ; // ../rtl/demodulation/FM_RSSI.v(21)
wire \FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ;
wire \FM_HW/FM_RSSI_SCAN/RSSI_reg_1 ; // ../rtl/demodulation/FM_RSSI.v(84)
wire \FM_HW/FM_RSSI_SCAN/RSSI_reg_2 ; // ../rtl/demodulation/FM_RSSI.v(85)
wire \FM_HW/FM_RSSI_SCAN/add2/c11 ; // ../rtl/demodulation/FM_RSSI.v(76)
wire \FM_HW/FM_RSSI_SCAN/add2/c3 ; // ../rtl/demodulation/FM_RSSI.v(76)
wire \FM_HW/FM_RSSI_SCAN/add2/c7 ; // ../rtl/demodulation/FM_RSSI.v(76)
wire \FM_HW/FM_RSSI_SCAN/lt0_c1 ;
wire \FM_HW/FM_RSSI_SCAN/lt0_c11 ;
wire \FM_HW/FM_RSSI_SCAN/lt0_c13 ;
wire \FM_HW/FM_RSSI_SCAN/lt0_c3 ;
wire \FM_HW/FM_RSSI_SCAN/lt0_c5 ;
wire \FM_HW/FM_RSSI_SCAN/lt0_c7 ;
wire \FM_HW/FM_RSSI_SCAN/lt0_c9 ;
wire \FM_HW/FM_RSSI_SCAN/multlII/add0/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlII/add0/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlII/add0/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlII/add0/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlQQ/add0/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlQQ/add0/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlQQ/add0/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlQQ/add0/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ;
wire \FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o ;
wire \FM_HW/FM_RSSI_SCAN/n0_lutinv ;
wire \FM_HW/FM_RSSI_SCAN/n14 ;
wire \FM_HW/FM_RSSI_SCAN/n25 ;
wire \FM_HW/FM_RSSI_SCAN/sub0/c1 ; // ../rtl/demodulation/FM_RSSI.v(27)
wire \FM_HW/FM_RSSI_SCAN/sub0/c3 ; // ../rtl/demodulation/FM_RSSI.v(27)
wire \FM_HW/FM_RSSI_SCAN/sub0/c5 ; // ../rtl/demodulation/FM_RSSI.v(27)
wire \FM_HW/FM_RSSI_SCAN/sub0/c7 ; // ../rtl/demodulation/FM_RSSI.v(27)
wire \FM_HW/PWM/clk0_buf ; // al_ip/PLL_PWM.v(43)
wire \FM_HW/U1/clk0_buf ; // al_ip/PLL_Demodulation.v(43)
wire \FM_HW/_al_u111_o ;
wire \FM_HW/_al_u112_o ;
wire \FM_HW/_al_u113_o ;
wire \FM_HW/_al_u114_o ;
wire \FM_HW/_al_u115_o ;
wire \FM_HW/_al_u117_o ;
wire \FM_HW/_al_u118_o ;
wire \FM_HW/_al_u119_o ;
wire \FM_HW/_al_u120_o ;
wire \FM_HW/_al_u123_o ;
wire \FM_HW/_al_u65_o ;
wire \FM_HW/_al_u66_o ;
wire \FM_HW/_al_u69_o ;
wire \FM_HW/adc_Power_down ; // ../rtl/demodulation/FM_HW.v(28)
wire \FM_HW/and_n4_n1_o ;
wire \FM_HW/clk_PWM_160 /* synthesis keep=1 */ ; // ../rtl/demodulation/FM_HW.v(103)
wire \FM_HW/clk_PWM_256 ; // ../rtl/demodulation/FM_HW.v(104)
wire \FM_HW/clk_fm_demo_sampling ; // ../rtl/demodulation/FM_HW.v(203)
wire \FM_HW/clk_fm_demo_sampling_gclk_net ;
wire \FM_HW/u1/c11 ; // ../rtl/demodulation/FM_RSSI.v(50)
wire \FM_HW/u1/c15 ; // ../rtl/demodulation/FM_RSSI.v(50)
wire \FM_HW/u1/c3 ; // ../rtl/demodulation/FM_RSSI.v(50)
wire \FM_HW/u1/c7 ; // ../rtl/demodulation/FM_RSSI.v(50)
wire \FM_HW/u2/c11 ; // ../rtl/demodulation/FM_RSSI.v(50)
wire \FM_HW/u2/c15 ; // ../rtl/demodulation/FM_RSSI.v(50)
wire \FM_HW/u2/c19 ; // ../rtl/demodulation/FM_RSSI.v(50)
wire \FM_HW/u2/c23 ; // ../rtl/demodulation/FM_RSSI.v(50)
wire \FM_HW/u2/c3 ; // ../rtl/demodulation/FM_RSSI.v(50)
wire \FM_HW/u2/c7 ; // ../rtl/demodulation/FM_RSSI.v(50)
wire HSEL_P0; // ../rtl/topmodule/CortexM0_SoC.v(183)
wire HSEL_P1; // ../rtl/topmodule/CortexM0_SoC.v(197)
wire HSEL_P5; // ../rtl/topmodule/CortexM0_SoC.v(253)
wire HWRITE; // ../rtl/topmodule/CortexM0_SoC.v(84)
wire \Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ; // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(65)
wire MSI_CS_pad; // ../rtl/topmodule/CortexM0_SoC.v(16)
wire MSI_REFCLK_pad; // ../rtl/topmodule/CortexM0_SoC.v(14)
wire \MSI_REF_CLK/clk0_buf ; // al_ip/RF_REF_24M.v(37)
wire MSI_SCLK_pad; // ../rtl/topmodule/CortexM0_SoC.v(17)
wire MSI_SDATA_pad; // ../rtl/topmodule/CortexM0_SoC.v(15)
wire \RAMCODE_Interface/n10 ;
wire \RAMCODE_Interface/n15 ;
wire \RAMCODE_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(63)
wire \RAMDATA_Interface/n10 ;
wire \RAMDATA_Interface/n15 ;
wire \RAMDATA_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(63)
wire RSSI_interrupt; // ../rtl/topmodule/CortexM0_SoC.v(59)
wire RSTn_pad; // ../rtl/topmodule/CortexM0_SoC.v(8)
wire RXD_pad; // ../rtl/topmodule/CortexM0_SoC.v(13)
wire \SPI_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_SPI.v(41)
wire \SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ; // ../rtl/peripherals/FIFO_SPI.v(36)
wire \SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ; // ../rtl/peripherals/FIFO_SPI.v(52)
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_000 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_001 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_002 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_003 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_004 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_005 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_006 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_007 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_008 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_009 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_010 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_011 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_012 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_013 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_014 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_015 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_016 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_017 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_018 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_019 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_020 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_022 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_023 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_024 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_000 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_001 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_002 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_003 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_004 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_005 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_006 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_007 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_008 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_009 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_010 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_011 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_012 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_013 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_014 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_015 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_016 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_017 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_018 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_019 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_020 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_022 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_023 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_024 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ;
wire \SPI_TX/FIFO_SPI/n25_lutinv ;
wire \SPI_TX/FIFO_SPI/n2_0 ;
wire \SPI_TX/FIFO_SPI/n2_1 ;
wire \SPI_TX/FIFO_SPI/r_flag ; // ../rtl/peripherals/FIFO_SPI.v(20)
wire \SPI_TX/FIFO_SPI/u13_sel_is_3_o ;
wire \SPI_TX/FIFO_SPI/u7_sel_is_3_o ;
wire \SPI_TX/FIFO_SPI/w_flag ; // ../rtl/peripherals/FIFO_SPI.v(20)
wire \SPI_TX/FIFOrd_en ; // ../rtl/peripherals/SPI_TX.v(14)
wire \SPI_TX/FIFOwr_en ; // ../rtl/peripherals/SPI_TX.v(15)
wire \SPI_TX/MSI_clk_en ; // ../rtl/peripherals/SPI_TX.v(67)
wire \SPI_TX/add0/c1 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/add0/c11 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/add0/c13 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/add0/c3 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/add0/c5 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/add0/c7 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/add0/c9 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/count_en ; // ../rtl/peripherals/SPI_TX.v(38)
wire \SPI_TX/n77_lutinv ;
wire \SPI_TX/trans_finish_lutinv ; // ../rtl/peripherals/SPI_TX.v(44)
wire SWDIO_pad; // ../rtl/topmodule/CortexM0_SoC.v(9)
wire SWDO; // ../rtl/topmodule/CortexM0_SoC.v(44)
wire SWDOEN; // ../rtl/topmodule/CortexM0_SoC.v(45)
wire SYSRESETREQ; // ../rtl/topmodule/CortexM0_SoC.v(94)
wire TXD_pad; // ../rtl/topmodule/CortexM0_SoC.v(12)
wire \UART_Interface/n5 ;
wire \UART_Interface/rd_en_reg ; // ../rtl/AHBsubordinate/AHBlite_UART.v(36)
wire \UART_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_UART.v(43)
wire \UART_RX/mux5_b0_sel_is_3_o ;
wire \UART_RX/mux5_b1_sel_is_3_o ;
wire \UART_RX/mux5_b2_sel_is_3_o ;
wire \UART_RX/mux5_b3_sel_is_3_o ;
wire \UART_RX/mux5_b4_sel_is_3_o ;
wire \UART_RX/mux5_b5_sel_is_3_o ;
wire \UART_RX/mux5_b6_sel_is_3_o ;
wire \UART_RX/mux5_b7_sel_is_3_o ;
wire \UART_TX/FIFO_UART/al_ram_mem_c0_mode ;
wire \UART_TX/FIFO_UART/al_ram_mem_c0_wclk ;
wire \UART_TX/FIFO_UART/al_ram_mem_c0_we ;
wire \UART_TX/FIFO_UART/al_ram_mem_c1_mode ;
wire \UART_TX/FIFO_UART/al_ram_mem_c1_wclk ;
wire \UART_TX/FIFO_UART/al_ram_mem_c1_we ;
wire \UART_TX/FIFO_UART/n25_lutinv ;
wire \UART_TX/FIFO_UART/r_flag ; // ../rtl/peripherals/FIFO_UART.v(18)
wire \UART_TX/FIFO_UART/u13_sel_is_3_o ;
wire \UART_TX/FIFO_UART/u7_sel_is_3_o ;
wire \UART_TX/FIFO_UART/w_flag ; // ../rtl/peripherals/FIFO_UART.v(18)
wire \UART_TX/FIFOrd_en ; // ../rtl/peripherals/UART_TX.v(13)
wire \UART_TX/FIFOwr_en ; // ../rtl/peripherals/UART_TX.v(14)
wire \UART_TX/n11 ;
wire \UART_TX/trans_finish_lutinv ; // ../rtl/peripherals/UART_TX.v(38)
wire _al_u226_o;
wire _al_u227_o;
wire _al_u265_o;
wire _al_u266_o;
wire _al_u267_o;
wire _al_u268_o;
wire _al_u269_o;
wire _al_u270_o;
wire _al_u273_o;
wire _al_u276_o;
wire _al_u283_o;
wire _al_u284_o;
wire _al_u296_o;
wire _al_u297_o;
wire _al_u299_o;
wire _al_u302_o;
wire _al_u303_o;
wire _al_u304_o;
wire _al_u306_o;
wire _al_u308_o;
wire _al_u310_o;
wire _al_u312_o;
wire _al_u314_o;
wire _al_u316_o;
wire _al_u318_o;
wire _al_u320_o;
wire _al_u322_o;
wire _al_u344_o;
wire _al_u347_o;
wire _al_u349_o;
wire _al_u350_o;
wire _al_u351_o;
wire _al_u358_o;
wire _al_u359_o;
wire _al_u360_o;
wire _al_u362_o;
wire _al_u363_o;
wire _al_u364_o;
wire _al_u365_o;
wire _al_u366_o;
wire _al_u367_o;
wire _al_u370_o;
wire _al_u371_o;
wire _al_u373_o;
wire _al_u374_o;
wire _al_u375_o;
wire _al_u376_o;
wire _al_u377_o;
wire _al_u395_o;
wire _al_u396_o;
wire _al_u397_o;
wire _al_u413_o;
wire _al_u416_o;
wire _al_u424_o;
wire _al_u426_o;
wire _al_u428_o;
wire _al_u430_o;
wire _al_u431_o;
wire _al_u432_o;
wire _al_u433_o;
wire _al_u434_o;
wire _al_u436_o;
wire _al_u437_o;
wire _al_u438_o;
wire _al_u439_o;
wire _al_u440_o;
wire _al_u441_o;
wire _al_u442_o;
wire _al_u443_o;
wire _al_u444_o;
wire _al_u446_o;
wire _al_u449_o;
wire _al_u450_o;
wire _al_u452_o;
wire _al_u453_o;
wire _al_u454_o;
wire _al_u455_o;
wire _al_u456_o;
wire _al_u457_o;
wire _al_u458_o;
wire _al_u459_o;
wire _al_u491_o;
wire _al_u494_o;
wire _al_u495_o;
wire _al_u496_o;
wire _al_u497_o;
wire _al_u498_o;
wire _al_u499_o;
wire _al_u501_o;
wire _al_u502_o;
wire _al_u504_o;
wire _al_u507_o;
wire _al_u508_o;
wire _al_u533_o;
wire _al_u534_o;
wire _al_u535_o;
wire _al_u536_o;
wire _al_u537_o;
wire _al_u538_o;
wire _al_u539_o;
wire _al_u543_o;
wire _al_u547_o;
wire _al_u550_o;
wire _al_u551_o;
wire _al_u552_o;
wire _al_u553_o;
wire _al_u554_o;
wire _al_u556_o;
wire _al_u557_o;
wire _al_u558_o;
wire _al_u559_o;
wire _al_u560_o;
wire _al_u561_o;
wire _al_u563_o;
wire _al_u564_o;
wire _al_u565_o;
wire _al_u566_o;
wire _al_u567_o;
wire _al_u568_o;
wire _al_u573_o;
wire _al_u574_o;
wire _al_u576_o;
wire _al_u577_o;
wire _al_u578_o;
wire _al_u579_o;
wire _al_u580_o;
wire _al_u581_o;
wire _al_u582_o;
wire _al_u584_o;
wire _al_u585_o;
wire _al_u586_o;
wire _al_u587_o;
wire _al_u588_o;
wire _al_u591_o;
wire _al_u592_o;
wire _al_u594_o;
wire _al_u595_o;
wire _al_u604_o;
wire _al_u608_o;
wire _al_u609_o;
wire _al_u610_o;
wire _al_u611_o;
wire _al_u612_o;
wire _al_u614_o;
wire _al_u615_o;
wire _al_u617_o;
wire _al_u618_o;
wire _al_u620_o;
wire _al_u621_o;
wire _al_u623_o;
wire _al_u624_o;
wire _al_u626_o;
wire _al_u627_o;
wire _al_u629_o;
wire _al_u630_o;
wire _al_u636_o;
wire _al_u637_o;
wire _al_u638_o;
wire _al_u639_o;
wire _al_u640_o;
wire _al_u641_o;
wire _al_u642_o;
wire _al_u644_o;
wire _al_u645_o;
wire _al_u646_o;
wire _al_u647_o;
wire _al_u649_o;
wire _al_u650_o;
wire _al_u651_o;
wire _al_u652_o;
wire _al_u653_o;
wire _al_u654_o;
wire _al_u655_o;
wire _al_u656_o;
wire _al_u657_o;
wire _al_u663_o;
wire _al_u665_o;
wire _al_u666_o;
wire _al_u667_o;
wire _al_u670_o;
wire _al_u671_o;
wire _al_u672_o;
wire _al_u673_o;
wire _al_u674_o;
wire _al_u675_o;
wire _al_u676_o;
wire _al_u678_o;
wire _al_u679_o;
wire _al_u680_o;
wire _al_u682_o;
wire _al_u683_o;
wire _al_u684_o;
wire _al_u686_o;
wire _al_u687_o;
wire _al_u688_o;
wire _al_u689_o;
wire _al_u690_o;
wire _al_u691_o;
wire _al_u692_o;
wire _al_u693_o;
wire _al_u694_o;
wire _al_u695_o;
wire _al_u696_o;
wire _al_u697_o;
wire _al_u698_o;
wire _al_u699_o;
wire _al_u700_o;
wire _al_u701_o;
wire _al_u702_o;
wire _al_u703_o;
wire _al_u704_o;
wire _al_u705_o;
wire _al_u706_o;
wire _al_u707_o;
wire _al_u708_o;
wire _al_u709_o;
wire _al_u710_o;
wire _al_u711_o;
wire _al_u712_o;
wire _al_u714_o;
wire _al_u716_o;
wire _al_u717_o;
wire _al_u718_o;
wire _al_u719_o;
wire _al_u720_o;
wire _al_u721_o;
wire _al_u722_o;
wire _al_u723_o;
wire _al_u724_o;
wire _al_u725_o;
wire _al_u726_o;
wire _al_u727_o;
wire _al_u728_o;
wire _al_u729_o;
wire bps_en_rx; // ../rtl/topmodule/CortexM0_SoC.v(639)
wire bps_en_tx; // ../rtl/topmodule/CortexM0_SoC.v(639)
wire clk_fm_ethernet; // ../rtl/topmodule/CortexM0_SoC.v(600)
wire clk_fm_ethernet_gclk_net;
wire clk_pad; // ../rtl/topmodule/CortexM0_SoC.v(7)
wire clk_uart; // ../rtl/topmodule/CortexM0_SoC.v(637)
wire \clkuart_pwm/add0/c1 ; // ../rtl/peripherals/clkuart_pwm.v(16)
wire \clkuart_pwm/add0/c11 ; // ../rtl/peripherals/clkuart_pwm.v(16)
wire \clkuart_pwm/add0/c3 ; // ../rtl/peripherals/clkuart_pwm.v(16)
wire \clkuart_pwm/add0/c5 ; // ../rtl/peripherals/clkuart_pwm.v(16)
wire \clkuart_pwm/add0/c7 ; // ../rtl/peripherals/clkuart_pwm.v(16)
wire \clkuart_pwm/add0/c9 ; // ../rtl/peripherals/clkuart_pwm.v(16)
wire \clkuart_pwm/lt0_c1 ;
wire \clkuart_pwm/lt0_c11 ;
wire \clkuart_pwm/lt0_c13 ;
wire \clkuart_pwm/lt0_c3 ;
wire \clkuart_pwm/lt0_c5 ;
wire \clkuart_pwm/lt0_c7 ;
wire \clkuart_pwm/lt0_c9 ;
wire \clkuart_pwm/n1 ;
wire cpuresetn; // ../rtl/topmodule/CortexM0_SoC.v(95)
wire e_mdc_pad; // ../rtl/topmodule/CortexM0_SoC.v(24)
wire \ethernet_i0/_al_u102_o ;
wire \ethernet_i0/_al_u103_o ;
wire \ethernet_i0/_al_u106_o ;
wire \ethernet_i0/_al_u107_o ;
wire \ethernet_i0/_al_u109_o ;
wire \ethernet_i0/_al_u110_o ;
wire \ethernet_i0/_al_u111_o ;
wire \ethernet_i0/_al_u112_o ;
wire \ethernet_i0/_al_u113_o ;
wire \ethernet_i0/_al_u114_o ;
wire \ethernet_i0/_al_u115_o ;
wire \ethernet_i0/_al_u141_o ;
wire \ethernet_i0/_al_u143_o ;
wire \ethernet_i0/_al_u144_o ;
wire \ethernet_i0/_al_u147_o ;
wire \ethernet_i0/_al_u148_o ;
wire \ethernet_i0/_al_u153_o ;
wire \ethernet_i0/_al_u154_o ;
wire \ethernet_i0/_al_u155_o ;
wire \ethernet_i0/_al_u156_o ;
wire \ethernet_i0/_al_u157_o ;
wire \ethernet_i0/_al_u158_o ;
wire \ethernet_i0/_al_u159_o ;
wire \ethernet_i0/_al_u160_o ;
wire \ethernet_i0/_al_u161_o ;
wire \ethernet_i0/_al_u163_o ;
wire \ethernet_i0/_al_u164_o ;
wire \ethernet_i0/_al_u166_o ;
wire \ethernet_i0/_al_u167_o ;
wire \ethernet_i0/_al_u168_o ;
wire \ethernet_i0/_al_u170_o ;
wire \ethernet_i0/_al_u171_o ;
wire \ethernet_i0/_al_u174_o ;
wire \ethernet_i0/_al_u177_o ;
wire \ethernet_i0/_al_u179_o ;
wire \ethernet_i0/_al_u181_o ;
wire \ethernet_i0/_al_u186_o ;
wire \ethernet_i0/_al_u188_o ;
wire \ethernet_i0/_al_u189_o ;
wire \ethernet_i0/_al_u190_o ;
wire \ethernet_i0/_al_u191_o ;
wire \ethernet_i0/_al_u192_o ;
wire \ethernet_i0/_al_u73_o ;
wire \ethernet_i0/_al_u75_o ;
wire \ethernet_i0/_al_u81_o ;
wire \ethernet_i0/_al_u83_o ;
wire \ethernet_i0/_al_u85_o ;
wire \ethernet_i0/_al_u86_o ;
wire \ethernet_i0/_al_u87_o ;
wire \ethernet_i0/_al_u96_o ;
wire \ethernet_i0/_al_u97_o ;
wire \ethernet_i0/_al_u98_o ;
wire \ethernet_i0/_al_u99_o ;
wire \ethernet_i0/gmii_rx_clk ; // ../rtl/Ethernet/sources_1/imports/src/ethernet_test.v(49)
wire \ethernet_i0/gmii_rx_dv ; // ../rtl/Ethernet/sources_1/imports/src/ethernet_test.v(47)
wire \ethernet_i0/gmii_tx_en ; // ../rtl/Ethernet/sources_1/imports/src/ethernet_test.v(41)
wire \ethernet_i0/mac_test0/_al_u100_o ;
wire \ethernet_i0/mac_test0/_al_u109_o ;
wire \ethernet_i0/mac_test0/_al_u110_o ;
wire \ethernet_i0/mac_test0/_al_u123_o ;
wire \ethernet_i0/mac_test0/_al_u142_o ;
wire \ethernet_i0/mac_test0/_al_u146_o ;
wire \ethernet_i0/mac_test0/_al_u147_o ;
wire \ethernet_i0/mac_test0/_al_u148_o ;
wire \ethernet_i0/mac_test0/_al_u149_o ;
wire \ethernet_i0/mac_test0/_al_u150_o ;
wire \ethernet_i0/mac_test0/_al_u151_o ;
wire \ethernet_i0/mac_test0/_al_u152_o ;
wire \ethernet_i0/mac_test0/_al_u153_o ;
wire \ethernet_i0/mac_test0/_al_u154_o ;
wire \ethernet_i0/mac_test0/_al_u155_o ;
wire \ethernet_i0/mac_test0/_al_u156_o ;
wire \ethernet_i0/mac_test0/_al_u157_o ;
wire \ethernet_i0/mac_test0/_al_u158_o ;
wire \ethernet_i0/mac_test0/_al_u159_o ;
wire \ethernet_i0/mac_test0/_al_u160_o ;
wire \ethernet_i0/mac_test0/_al_u161_o ;
wire \ethernet_i0/mac_test0/_al_u162_o ;
wire \ethernet_i0/mac_test0/_al_u163_o ;
wire \ethernet_i0/mac_test0/_al_u164_o ;
wire \ethernet_i0/mac_test0/_al_u165_o ;
wire \ethernet_i0/mac_test0/_al_u166_o ;
wire \ethernet_i0/mac_test0/_al_u167_o ;
wire \ethernet_i0/mac_test0/_al_u169_o ;
wire \ethernet_i0/mac_test0/_al_u171_o ;
wire \ethernet_i0/mac_test0/_al_u172_o ;
wire \ethernet_i0/mac_test0/_al_u174_o ;
wire \ethernet_i0/mac_test0/_al_u176_o ;
wire \ethernet_i0/mac_test0/_al_u177_o ;
wire \ethernet_i0/mac_test0/_al_u178_o ;
wire \ethernet_i0/mac_test0/_al_u179_o ;
wire \ethernet_i0/mac_test0/_al_u180_o ;
wire \ethernet_i0/mac_test0/_al_u181_o ;
wire \ethernet_i0/mac_test0/_al_u182_o ;
wire \ethernet_i0/mac_test0/_al_u183_o ;
wire \ethernet_i0/mac_test0/_al_u184_o ;
wire \ethernet_i0/mac_test0/_al_u185_o ;
wire \ethernet_i0/mac_test0/_al_u186_o ;
wire \ethernet_i0/mac_test0/_al_u187_o ;
wire \ethernet_i0/mac_test0/_al_u189_o ;
wire \ethernet_i0/mac_test0/_al_u190_o ;
wire \ethernet_i0/mac_test0/_al_u191_o ;
wire \ethernet_i0/mac_test0/_al_u192_o ;
wire \ethernet_i0/mac_test0/_al_u193_o ;
wire \ethernet_i0/mac_test0/_al_u194_o ;
wire \ethernet_i0/mac_test0/_al_u195_o ;
wire \ethernet_i0/mac_test0/_al_u196_o ;
wire \ethernet_i0/mac_test0/_al_u197_o ;
wire \ethernet_i0/mac_test0/_al_u198_o ;
wire \ethernet_i0/mac_test0/_al_u199_o ;
wire \ethernet_i0/mac_test0/_al_u200_o ;
wire \ethernet_i0/mac_test0/_al_u201_o ;
wire \ethernet_i0/mac_test0/_al_u202_o ;
wire \ethernet_i0/mac_test0/_al_u203_o ;
wire \ethernet_i0/mac_test0/_al_u204_o ;
wire \ethernet_i0/mac_test0/_al_u205_o ;
wire \ethernet_i0/mac_test0/_al_u206_o ;
wire \ethernet_i0/mac_test0/_al_u207_o ;
wire \ethernet_i0/mac_test0/_al_u208_o ;
wire \ethernet_i0/mac_test0/_al_u209_o ;
wire \ethernet_i0/mac_test0/_al_u210_o ;
wire \ethernet_i0/mac_test0/_al_u212_o ;
wire \ethernet_i0/mac_test0/_al_u214_o ;
wire \ethernet_i0/mac_test0/_al_u215_o ;
wire \ethernet_i0/mac_test0/_al_u217_o ;
wire \ethernet_i0/mac_test0/_al_u219_o ;
wire \ethernet_i0/mac_test0/_al_u220_o ;
wire \ethernet_i0/mac_test0/_al_u221_o ;
wire \ethernet_i0/mac_test0/_al_u222_o ;
wire \ethernet_i0/mac_test0/_al_u223_o ;
wire \ethernet_i0/mac_test0/_al_u224_o ;
wire \ethernet_i0/mac_test0/_al_u225_o ;
wire \ethernet_i0/mac_test0/_al_u226_o ;
wire \ethernet_i0/mac_test0/_al_u227_o ;
wire \ethernet_i0/mac_test0/_al_u228_o ;
wire \ethernet_i0/mac_test0/_al_u229_o ;
wire \ethernet_i0/mac_test0/_al_u230_o ;
wire \ethernet_i0/mac_test0/_al_u232_o ;
wire \ethernet_i0/mac_test0/_al_u233_o ;
wire \ethernet_i0/mac_test0/_al_u234_o ;
wire \ethernet_i0/mac_test0/_al_u235_o ;
wire \ethernet_i0/mac_test0/_al_u236_o ;
wire \ethernet_i0/mac_test0/_al_u237_o ;
wire \ethernet_i0/mac_test0/_al_u239_o ;
wire \ethernet_i0/mac_test0/_al_u241_o ;
wire \ethernet_i0/mac_test0/_al_u242_o ;
wire \ethernet_i0/mac_test0/_al_u244_o ;
wire \ethernet_i0/mac_test0/_al_u246_o ;
wire \ethernet_i0/mac_test0/_al_u247_o ;
wire \ethernet_i0/mac_test0/_al_u248_o ;
wire \ethernet_i0/mac_test0/_al_u249_o ;
wire \ethernet_i0/mac_test0/_al_u250_o ;
wire \ethernet_i0/mac_test0/_al_u251_o ;
wire \ethernet_i0/mac_test0/_al_u252_o ;
wire \ethernet_i0/mac_test0/_al_u253_o ;
wire \ethernet_i0/mac_test0/_al_u254_o ;
wire \ethernet_i0/mac_test0/_al_u255_o ;
wire \ethernet_i0/mac_test0/_al_u256_o ;
wire \ethernet_i0/mac_test0/_al_u257_o ;
wire \ethernet_i0/mac_test0/_al_u258_o ;
wire \ethernet_i0/mac_test0/_al_u259_o ;
wire \ethernet_i0/mac_test0/_al_u260_o ;
wire \ethernet_i0/mac_test0/_al_u261_o ;
wire \ethernet_i0/mac_test0/_al_u262_o ;
wire \ethernet_i0/mac_test0/_al_u263_o ;
wire \ethernet_i0/mac_test0/_al_u264_o ;
wire \ethernet_i0/mac_test0/_al_u265_o ;
wire \ethernet_i0/mac_test0/_al_u266_o ;
wire \ethernet_i0/mac_test0/_al_u267_o ;
wire \ethernet_i0/mac_test0/_al_u269_o ;
wire \ethernet_i0/mac_test0/_al_u270_o ;
wire \ethernet_i0/mac_test0/_al_u271_o ;
wire \ethernet_i0/mac_test0/_al_u272_o ;
wire \ethernet_i0/mac_test0/_al_u273_o ;
wire \ethernet_i0/mac_test0/_al_u274_o ;
wire \ethernet_i0/mac_test0/_al_u276_o ;
wire \ethernet_i0/mac_test0/_al_u277_o ;
wire \ethernet_i0/mac_test0/_al_u278_o ;
wire \ethernet_i0/mac_test0/_al_u279_o ;
wire \ethernet_i0/mac_test0/_al_u280_o ;
wire \ethernet_i0/mac_test0/_al_u281_o ;
wire \ethernet_i0/mac_test0/_al_u283_o ;
wire \ethernet_i0/mac_test0/_al_u285_o ;
wire \ethernet_i0/mac_test0/_al_u286_o ;
wire \ethernet_i0/mac_test0/_al_u288_o ;
wire \ethernet_i0/mac_test0/_al_u290_o ;
wire \ethernet_i0/mac_test0/_al_u291_o ;
wire \ethernet_i0/mac_test0/_al_u292_o ;
wire \ethernet_i0/mac_test0/_al_u293_o ;
wire \ethernet_i0/mac_test0/_al_u294_o ;
wire \ethernet_i0/mac_test0/_al_u295_o ;
wire \ethernet_i0/mac_test0/_al_u296_o ;
wire \ethernet_i0/mac_test0/_al_u297_o ;
wire \ethernet_i0/mac_test0/_al_u298_o ;
wire \ethernet_i0/mac_test0/_al_u299_o ;
wire \ethernet_i0/mac_test0/_al_u300_o ;
wire \ethernet_i0/mac_test0/_al_u301_o ;
wire \ethernet_i0/mac_test0/_al_u302_o ;
wire \ethernet_i0/mac_test0/_al_u303_o ;
wire \ethernet_i0/mac_test0/_al_u304_o ;
wire \ethernet_i0/mac_test0/_al_u305_o ;
wire \ethernet_i0/mac_test0/_al_u306_o ;
wire \ethernet_i0/mac_test0/_al_u307_o ;
wire \ethernet_i0/mac_test0/_al_u308_o ;
wire \ethernet_i0/mac_test0/_al_u309_o ;
wire \ethernet_i0/mac_test0/_al_u310_o ;
wire \ethernet_i0/mac_test0/_al_u311_o ;
wire \ethernet_i0/mac_test0/_al_u313_o ;
wire \ethernet_i0/mac_test0/_al_u314_o ;
wire \ethernet_i0/mac_test0/_al_u315_o ;
wire \ethernet_i0/mac_test0/_al_u316_o ;
wire \ethernet_i0/mac_test0/_al_u317_o ;
wire \ethernet_i0/mac_test0/_al_u318_o ;
wire \ethernet_i0/mac_test0/_al_u320_o ;
wire \ethernet_i0/mac_test0/_al_u321_o ;
wire \ethernet_i0/mac_test0/_al_u322_o ;
wire \ethernet_i0/mac_test0/_al_u323_o ;
wire \ethernet_i0/mac_test0/_al_u324_o ;
wire \ethernet_i0/mac_test0/_al_u325_o ;
wire \ethernet_i0/mac_test0/_al_u326_o ;
wire \ethernet_i0/mac_test0/_al_u327_o ;
wire \ethernet_i0/mac_test0/_al_u328_o ;
wire \ethernet_i0/mac_test0/_al_u329_o ;
wire \ethernet_i0/mac_test0/_al_u330_o ;
wire \ethernet_i0/mac_test0/_al_u331_o ;
wire \ethernet_i0/mac_test0/_al_u332_o ;
wire \ethernet_i0/mac_test0/_al_u333_o ;
wire \ethernet_i0/mac_test0/_al_u334_o ;
wire \ethernet_i0/mac_test0/_al_u335_o ;
wire \ethernet_i0/mac_test0/_al_u336_o ;
wire \ethernet_i0/mac_test0/_al_u337_o ;
wire \ethernet_i0/mac_test0/_al_u338_o ;
wire \ethernet_i0/mac_test0/_al_u339_o ;
wire \ethernet_i0/mac_test0/_al_u340_o ;
wire \ethernet_i0/mac_test0/_al_u341_o ;
wire \ethernet_i0/mac_test0/_al_u343_o ;
wire \ethernet_i0/mac_test0/_al_u345_o ;
wire \ethernet_i0/mac_test0/_al_u346_o ;
wire \ethernet_i0/mac_test0/_al_u348_o ;
wire \ethernet_i0/mac_test0/_al_u350_o ;
wire \ethernet_i0/mac_test0/_al_u351_o ;
wire \ethernet_i0/mac_test0/_al_u352_o ;
wire \ethernet_i0/mac_test0/_al_u353_o ;
wire \ethernet_i0/mac_test0/_al_u354_o ;
wire \ethernet_i0/mac_test0/_al_u355_o ;
wire \ethernet_i0/mac_test0/_al_u356_o ;
wire \ethernet_i0/mac_test0/_al_u357_o ;
wire \ethernet_i0/mac_test0/_al_u358_o ;
wire \ethernet_i0/mac_test0/_al_u359_o ;
wire \ethernet_i0/mac_test0/_al_u360_o ;
wire \ethernet_i0/mac_test0/_al_u361_o ;
wire \ethernet_i0/mac_test0/_al_u363_o ;
wire \ethernet_i0/mac_test0/_al_u364_o ;
wire \ethernet_i0/mac_test0/_al_u365_o ;
wire \ethernet_i0/mac_test0/_al_u366_o ;
wire \ethernet_i0/mac_test0/_al_u367_o ;
wire \ethernet_i0/mac_test0/_al_u368_o ;
wire \ethernet_i0/mac_test0/_al_u369_o ;
wire \ethernet_i0/mac_test0/_al_u370_o ;
wire \ethernet_i0/mac_test0/_al_u371_o ;
wire \ethernet_i0/mac_test0/_al_u372_o ;
wire \ethernet_i0/mac_test0/_al_u373_o ;
wire \ethernet_i0/mac_test0/_al_u374_o ;
wire \ethernet_i0/mac_test0/_al_u375_o ;
wire \ethernet_i0/mac_test0/_al_u376_o ;
wire \ethernet_i0/mac_test0/_al_u377_o ;
wire \ethernet_i0/mac_test0/_al_u378_o ;
wire \ethernet_i0/mac_test0/_al_u379_o ;
wire \ethernet_i0/mac_test0/_al_u380_o ;
wire \ethernet_i0/mac_test0/_al_u381_o ;
wire \ethernet_i0/mac_test0/_al_u382_o ;
wire \ethernet_i0/mac_test0/_al_u383_o ;
wire \ethernet_i0/mac_test0/_al_u384_o ;
wire \ethernet_i0/mac_test0/_al_u386_o ;
wire \ethernet_i0/mac_test0/_al_u388_o ;
wire \ethernet_i0/mac_test0/_al_u389_o ;
wire \ethernet_i0/mac_test0/_al_u391_o ;
wire \ethernet_i0/mac_test0/_al_u393_o ;
wire \ethernet_i0/mac_test0/_al_u394_o ;
wire \ethernet_i0/mac_test0/_al_u395_o ;
wire \ethernet_i0/mac_test0/_al_u396_o ;
wire \ethernet_i0/mac_test0/_al_u397_o ;
wire \ethernet_i0/mac_test0/_al_u398_o ;
wire \ethernet_i0/mac_test0/_al_u399_o ;
wire \ethernet_i0/mac_test0/_al_u400_o ;
wire \ethernet_i0/mac_test0/_al_u401_o ;
wire \ethernet_i0/mac_test0/_al_u402_o ;
wire \ethernet_i0/mac_test0/_al_u403_o ;
wire \ethernet_i0/mac_test0/_al_u404_o ;
wire \ethernet_i0/mac_test0/_al_u406_o ;
wire \ethernet_i0/mac_test0/_al_u407_o ;
wire \ethernet_i0/mac_test0/_al_u408_o ;
wire \ethernet_i0/mac_test0/_al_u409_o ;
wire \ethernet_i0/mac_test0/_al_u410_o ;
wire \ethernet_i0/mac_test0/_al_u411_o ;
wire \ethernet_i0/mac_test0/_al_u412_o ;
wire \ethernet_i0/mac_test0/_al_u413_o ;
wire \ethernet_i0/mac_test0/_al_u414_o ;
wire \ethernet_i0/mac_test0/_al_u415_o ;
wire \ethernet_i0/mac_test0/_al_u416_o ;
wire \ethernet_i0/mac_test0/_al_u417_o ;
wire \ethernet_i0/mac_test0/_al_u418_o ;
wire \ethernet_i0/mac_test0/_al_u419_o ;
wire \ethernet_i0/mac_test0/_al_u420_o ;
wire \ethernet_i0/mac_test0/_al_u421_o ;
wire \ethernet_i0/mac_test0/_al_u422_o ;
wire \ethernet_i0/mac_test0/_al_u423_o ;
wire \ethernet_i0/mac_test0/_al_u424_o ;
wire \ethernet_i0/mac_test0/_al_u425_o ;
wire \ethernet_i0/mac_test0/_al_u426_o ;
wire \ethernet_i0/mac_test0/_al_u427_o ;
wire \ethernet_i0/mac_test0/_al_u429_o ;
wire \ethernet_i0/mac_test0/_al_u431_o ;
wire \ethernet_i0/mac_test0/_al_u432_o ;
wire \ethernet_i0/mac_test0/_al_u434_o ;
wire \ethernet_i0/mac_test0/_al_u436_o ;
wire \ethernet_i0/mac_test0/_al_u437_o ;
wire \ethernet_i0/mac_test0/_al_u438_o ;
wire \ethernet_i0/mac_test0/_al_u439_o ;
wire \ethernet_i0/mac_test0/_al_u440_o ;
wire \ethernet_i0/mac_test0/_al_u441_o ;
wire \ethernet_i0/mac_test0/_al_u442_o ;
wire \ethernet_i0/mac_test0/_al_u443_o ;
wire \ethernet_i0/mac_test0/_al_u444_o ;
wire \ethernet_i0/mac_test0/_al_u445_o ;
wire \ethernet_i0/mac_test0/_al_u446_o ;
wire \ethernet_i0/mac_test0/_al_u447_o ;
wire \ethernet_i0/mac_test0/_al_u449_o ;
wire \ethernet_i0/mac_test0/_al_u450_o ;
wire \ethernet_i0/mac_test0/_al_u451_o ;
wire \ethernet_i0/mac_test0/_al_u452_o ;
wire \ethernet_i0/mac_test0/_al_u453_o ;
wire \ethernet_i0/mac_test0/_al_u454_o ;
wire \ethernet_i0/mac_test0/_al_u455_o ;
wire \ethernet_i0/mac_test0/_al_u456_o ;
wire \ethernet_i0/mac_test0/_al_u457_o ;
wire \ethernet_i0/mac_test0/_al_u458_o ;
wire \ethernet_i0/mac_test0/_al_u459_o ;
wire \ethernet_i0/mac_test0/_al_u460_o ;
wire \ethernet_i0/mac_test0/_al_u461_o ;
wire \ethernet_i0/mac_test0/_al_u462_o ;
wire \ethernet_i0/mac_test0/_al_u463_o ;
wire \ethernet_i0/mac_test0/_al_u464_o ;
wire \ethernet_i0/mac_test0/_al_u465_o ;
wire \ethernet_i0/mac_test0/_al_u466_o ;
wire \ethernet_i0/mac_test0/_al_u467_o ;
wire \ethernet_i0/mac_test0/_al_u468_o ;
wire \ethernet_i0/mac_test0/_al_u469_o ;
wire \ethernet_i0/mac_test0/_al_u470_o ;
wire \ethernet_i0/mac_test0/_al_u472_o ;
wire \ethernet_i0/mac_test0/_al_u474_o ;
wire \ethernet_i0/mac_test0/_al_u475_o ;
wire \ethernet_i0/mac_test0/_al_u477_o ;
wire \ethernet_i0/mac_test0/_al_u479_o ;
wire \ethernet_i0/mac_test0/_al_u480_o ;
wire \ethernet_i0/mac_test0/_al_u481_o ;
wire \ethernet_i0/mac_test0/_al_u482_o ;
wire \ethernet_i0/mac_test0/_al_u483_o ;
wire \ethernet_i0/mac_test0/_al_u484_o ;
wire \ethernet_i0/mac_test0/_al_u485_o ;
wire \ethernet_i0/mac_test0/_al_u486_o ;
wire \ethernet_i0/mac_test0/_al_u487_o ;
wire \ethernet_i0/mac_test0/_al_u488_o ;
wire \ethernet_i0/mac_test0/_al_u489_o ;
wire \ethernet_i0/mac_test0/_al_u490_o ;
wire \ethernet_i0/mac_test0/_al_u505_o ;
wire \ethernet_i0/mac_test0/_al_u59_o ;
wire \ethernet_i0/mac_test0/_al_u60_o ;
wire \ethernet_i0/mac_test0/_al_u62_o ;
wire \ethernet_i0/mac_test0/_al_u64_o ;
wire \ethernet_i0/mac_test0/_al_u65_o ;
wire \ethernet_i0/mac_test0/_al_u66_o ;
wire \ethernet_i0/mac_test0/_al_u70_o ;
wire \ethernet_i0/mac_test0/_al_u73_o ;
wire \ethernet_i0/mac_test0/_al_u75_o ;
wire \ethernet_i0/mac_test0/_al_u76_o ;
wire \ethernet_i0/mac_test0/_al_u79_o ;
wire \ethernet_i0/mac_test0/_al_u83_o ;
wire \ethernet_i0/mac_test0/_al_u87_o ;
wire \ethernet_i0/mac_test0/_al_u96_o ;
wire \ethernet_i0/mac_test0/add0/c11 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(269)
wire \ethernet_i0/mac_test0/add0/c3 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(269)
wire \ethernet_i0/mac_test0/add0/c7 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(269)
wire \ethernet_i0/mac_test0/add1/c11 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(273)
wire \ethernet_i0/mac_test0/add1/c3 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(273)
wire \ethernet_i0/mac_test0/add1/c7 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(273)
wire \ethernet_i0/mac_test0/add4/c1 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(379)
wire \ethernet_i0/mac_test0/add4/c11 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(379)
wire \ethernet_i0/mac_test0/add4/c3 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(379)
wire \ethernet_i0/mac_test0/add4/c5 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(379)
wire \ethernet_i0/mac_test0/add4/c7 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(379)
wire \ethernet_i0/mac_test0/add4/c9 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(379)
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_000 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_001 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_002 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_003 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_004 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_005 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_006 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_007 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_008 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_009 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_010 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_011 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_012 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_013 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_014 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_015 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_016 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_017 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_018 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_019 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_020 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_021 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_022 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_023 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_024 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_025 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_026 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_027 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_028 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_029 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_030 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_031 ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_we ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_mode ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_wclk ;
wire \ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_we ;
wire \ethernet_i0/mac_test0/almost_full ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(45)
wire \ethernet_i0/mac_test0/almost_full_d0 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(90)
wire \ethernet_i0/mac_test0/almost_full_d1 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(91)
wire \ethernet_i0/mac_test0/arp_found ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(74)
wire \ethernet_i0/mac_test0/arp_request_req ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(35)
wire \ethernet_i0/mac_test0/bufferA_B ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(57)
wire \ethernet_i0/mac_test0/gmii_rx_dv_d0 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(22)
wire \ethernet_i0/mac_test0/gmii_tx_en_tmp ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(24)
wire \ethernet_i0/mac_test0/mac_not_exist ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(73)
wire \ethernet_i0/mac_test0/mac_send_end ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(36)
wire \ethernet_i0/mac_test0/mac_top0/_al_u254_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u255_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u256_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u258_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u259_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u260_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u261_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u262_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u263_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u264_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u265_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u266_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u267_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u316_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u317_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u318_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u321_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u322_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u324_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u326_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u328_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u330_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u332_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u334_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u336_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u338_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u340_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u343_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u345_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u347_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u349_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u351_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u353_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u355_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u357_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u359_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u361_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u364_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u366_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u372_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u374_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u413_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u414_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u416_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u417_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u418_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u505_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u506_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u518_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u519_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u529_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u530_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u531_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u532_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u533_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u534_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u538_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u540_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u541_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u546_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u548_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u549_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u550_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u552_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u553_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u555_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u556_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u557_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u558_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u562_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u567_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u586_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u587_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u588_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u589_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u590_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u592_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u593_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u594_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u595_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u596_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u597_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u598_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u599_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u600_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u602_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u603_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u608_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u609_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u610_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u611_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u612_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u613_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u614_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u615_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u616_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u617_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u618_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u619_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u620_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u621_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u623_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u624_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u625_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u627_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u629_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u631_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u633_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u636_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u639_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u642_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u645_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u648_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u650_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u652_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u654_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u656_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u659_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u661_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u663_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u665_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u667_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u669_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u671_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u673_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u675_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u677_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u679_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u681_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u683_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u685_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u687_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u689_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u691_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u693_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u695_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u697_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u699_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u702_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u704_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u706_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u708_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u710_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u712_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u714_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u716_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u718_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u720_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u722_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u724_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u726_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u728_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u730_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u732_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u734_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u736_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u738_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u740_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u742_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u745_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u747_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u748_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u749_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u750_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u751_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u752_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u753_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u754_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u755_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u756_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u757_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u758_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u759_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u760_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u761_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u762_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u763_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u764_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u765_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u766_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u767_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u768_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u769_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u770_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u771_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u772_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u773_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u774_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u775_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u776_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u777_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u782_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u784_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u785_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u786_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u788_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u789_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u790_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u791_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u793_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u794_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u795_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u796_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u798_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u799_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u800_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u801_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u803_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u804_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u805_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u806_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u808_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u809_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u810_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u811_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u813_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u814_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u815_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u816_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u818_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u819_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u820_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u821_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u823_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u824_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u825_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u826_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u829_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u830_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u831_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u832_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u833_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u834_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u835_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u836_o ;
wire \ethernet_i0/mac_test0/mac_top0/_al_u837_o ;
wire \ethernet_i0/mac_test0/mac_top0/arp_reply_ack ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(47)
wire \ethernet_i0/mac_test0/mac_top0/arp_reply_req ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(48)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add0/c1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(229)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add0/c11 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(229)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add0/c13 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(229)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add0/c15 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(229)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add0/c3 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(229)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add0/c5 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(229)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add0/c7 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(229)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add0/c9 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(229)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add1/c1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(265)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add1/c11 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(265)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add1/c13 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(265)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add1/c15 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(265)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add1/c3 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(265)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add1/c5 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(265)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add1/c7 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(265)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add1/c9 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(265)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add10/c11 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add10/c15 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add10/c3 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add10/c7 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add2/c11 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add2/c15 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add2/c19 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add2/c23 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add2/c27 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add2/c3 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add2/c31 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add2/c7 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add3/c11 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add3/c15 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add3/c19 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add3/c23 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add3/c3 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add3/c7 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add5/c11 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add5/c15 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add5/c3 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add5/c7 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add6/c11 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add6/c15 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add6/c3 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add6/c7 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add7/c11 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add7/c15 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add7/c19 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add7/c23 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add7/c27 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add7/c3 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add7/c31 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add7/c7 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add8/c11 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add8/c15 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add8/c19 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add8/c23 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add8/c3 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add8/c7 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(360)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add9/c1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add9/c11 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add9/c13 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add9/c15 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add9/c3 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add9/c5 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add9/c7 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/add9/c9 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(369)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/checksum_finish ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(41)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/icmp_checksum_error ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(32)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rev_error_d0 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(45)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_end ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(31)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/icmp_type_error ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(33)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c1 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c11 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c13 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c15 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c3 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c5 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c7 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c9 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c1 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c11 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c13 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c15 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c3 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c5 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c7 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c9 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c1 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c11 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c13 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c15 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c3 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c5 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c7 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c9 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mac_send_end_d0 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(50)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n101 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n102_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n10_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n115 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n117 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n2_neg_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n31 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n33_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n34 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n36_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n37_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n38 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n45_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n46 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n47 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n5_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n63_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n64 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n67 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n69 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n73 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n75 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n78 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n91 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/n94 ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/ram_wr_en ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(44)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sel0_b3/or_B9_or_B10_B11_o_o_lutinv ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(80)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_0_sel_is_2_o ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_10_sel_is_2_o ;
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(92)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c11 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(92)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c13 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(92)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c15 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(92)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c3 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(92)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c5 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(92)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c7 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(92)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c9 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(92)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(94)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c11 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(94)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c13 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(94)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c15 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(94)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c3 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(94)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c5 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(94)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c7 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(94)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c9 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(94)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub3/c1 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(320)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub3/c3 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(320)
wire \ethernet_i0/mac_test0/mac_top0/icmp0/sub3/c5 ; // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(320)
wire \ethernet_i0/mac_test0/mac_top0/icmp_rx_req ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(55)
wire \ethernet_i0/mac_test0/mac_top0/icmp_tx_ack ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(62)
wire \ethernet_i0/mac_test0/mac_top0/icmp_tx_ready ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(58)
wire \ethernet_i0/mac_test0/mac_top0/icmp_tx_req ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(61)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1000_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1001_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1002_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1003_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1004_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1005_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1006_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1007_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1008_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1009_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1010_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1014_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1016_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1019_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1020_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1021_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u507_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u509_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u515_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u517_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u518_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u521_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u526_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u530_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u531_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u544_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u545_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u546_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u549_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u554_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u559_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u560_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u565_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u570_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u575_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u576_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u578_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u589_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u591_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u593_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u597_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u599_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u639_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u640_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u641_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u642_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u643_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u709_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u710_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u729_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u730_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u732_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u736_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u738_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u742_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u747_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u776_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u789_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u790_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u791_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u792_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u810_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u812_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u813_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u815_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u817_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u822_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u823_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u825_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u826_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u829_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u830_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u835_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u836_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u837_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u838_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u839_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u840_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u841_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u842_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u843_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u844_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u845_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u846_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u847_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u848_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u849_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u850_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u851_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u852_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u853_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u854_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u862_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u864_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u866_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u867_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u868_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u869_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u870_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u871_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u872_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u873_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u874_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u875_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u876_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u877_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u878_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u879_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u880_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u881_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u882_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u883_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u884_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u885_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u886_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u890_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u892_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u893_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u894_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u901_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u902_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u903_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u904_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u905_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u907_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u908_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u909_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u910_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u911_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u912_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u913_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u914_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u915_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u916_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u917_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u918_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u919_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u920_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u921_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u922_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u924_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u941_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u942_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u943_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u944_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u950_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u951_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u952_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u953_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u954_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u955_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u956_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u957_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u958_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u959_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u960_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u961_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u962_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u963_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u965_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u966_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u969_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u970_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u971_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u972_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u973_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u974_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u975_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u976_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u977_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u978_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u979_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u980_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u981_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u982_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u983_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u984_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u987_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u988_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u989_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u990_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u991_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u992_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u993_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u994_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u995_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u996_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u997_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u998_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u999_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/c1 ; // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(130)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/c3 ; // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(130)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/c5 ; // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(130)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/c7 ; // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(130)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n14 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n32 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n34 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n39 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n3_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n41 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n43 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n45 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n47 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n49 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n57 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n59 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n61 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n63 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n69 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n6_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n71 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n73 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n75 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n77 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n79 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n7_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n87 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n89 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n92 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n95 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/u12_sel_is_0_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp_rx_end ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(45)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/arp_rx_req ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(44)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/CrcNext[0] ; // ../rtl/Ethernet/sources_1/mac/crc.v(18)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(58)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(59)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/c11 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(182)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/c15 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(182)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/c3 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(182)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/c7 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(182)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c11 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(276)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c15 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(276)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c19 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(276)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c23 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(276)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c27 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(276)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c3 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(276)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c31 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(276)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c7 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(276)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/c11 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(286)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/c15 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(286)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/c3 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(286)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/c7 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(286)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/eq1/or_xor_i0[2]_i1[2]_o_o_lutinv ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(84)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/eq1/or_xor_i0[4]_i1[4]_o_o_lutinv ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(84)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c1 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c11 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c13 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c15 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c3 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c5 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c7 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c9 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n11_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n42 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n45 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n46 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n50 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n65 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n67 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n69 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n71 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n92 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/c11 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(108)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/c15 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(108)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/c3 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(108)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/c7 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(108)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c1 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(159)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c11 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(159)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c13 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(159)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c3 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(159)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c5 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(159)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c7 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(159)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c9 ; // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(159)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_addr_check_error ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(51)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_checksum_error ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(52)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rx_end ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(42)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rx_req ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(40)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c1 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(211)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c11 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(211)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c13 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(211)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c15 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(211)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c3 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(211)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c5 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(211)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c7 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(211)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c9 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(211)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_error ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(57)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b0_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b10_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b16_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b24_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b0_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b10_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b16_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b24_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b32_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b40_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b0_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b10_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n11_neg_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n12_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n19_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n1_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n20_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n21_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n31 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n33 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n34 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n3_neg_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n54_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n55_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n56_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n57_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n83_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n85_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n87_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n89_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n91_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n93_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n95_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n97_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rx_dv_d0 ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(44)
wire \ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rec_error ; // ../rtl/Ethernet/sources_1/mac/rx/mac_rx_top.v(53)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1000_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1001_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1002_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1003_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1004_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1005_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1006_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1008_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1009_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1010_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1011_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1012_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1013_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1014_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1015_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1016_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1017_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1018_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1019_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1021_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1022_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1024_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1025_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1026_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1027_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1028_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1029_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1030_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1032_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1033_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1034_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1035_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1036_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1037_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1038_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1039_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1040_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1041_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1043_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1044_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1045_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1046_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1047_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1048_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1049_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1050_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1051_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1052_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1053_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1055_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1056_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1057_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1058_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1059_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1060_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1061_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1062_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1063_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1064_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1065_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1066_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1068_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1069_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1070_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1072_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1073_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1074_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1075_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1078_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1079_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u250_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u254_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u255_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u256_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u257_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u259_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u261_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u262_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u265_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u267_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u268_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u270_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u271_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u273_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u274_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u277_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u279_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u298_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u300_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u302_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u304_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u309_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u311_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u313_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u317_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u320_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u326_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u327_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u331_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u332_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u339_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u340_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u341_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u343_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u344_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u345_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u347_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u348_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u349_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u350_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u352_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u354_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u356_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u357_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u359_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u360_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u362_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u363_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u364_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u366_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u367_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u377_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u378_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u379_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u383_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u502_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u637_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u657_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u659_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u660_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u661_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u663_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u664_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u711_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u712_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u721_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u752_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u753_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u754_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u755_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u761_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u763_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u764_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u768_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u770_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u771_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u772_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u780_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u781_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u782_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u783_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u786_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u805_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u806_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u807_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u808_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u809_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u810_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u811_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u812_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u813_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u816_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u817_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u818_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u819_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u820_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u821_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u822_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u823_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u824_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u825_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u826_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u827_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u828_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u829_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u830_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u831_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u832_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u849_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u852_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u853_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u854_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u855_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u856_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u857_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u859_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u861_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u862_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u863_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u864_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u865_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u870_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u871_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u872_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u873_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u874_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u875_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u876_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u877_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u878_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u879_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u880_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u881_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u882_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u883_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u884_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u885_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u886_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u888_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u889_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u890_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u891_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u892_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u893_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u894_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u895_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u897_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u898_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u899_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u900_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u901_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u902_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u903_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u904_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u906_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u907_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u908_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u909_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u910_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u911_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u913_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u915_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u916_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u917_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u918_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u920_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u921_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u922_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u923_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u925_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u926_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u927_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u928_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u929_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u930_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u931_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u932_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u933_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u935_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u936_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u937_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u938_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u940_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u941_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u942_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u943_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u944_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u946_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u947_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u948_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u949_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u950_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u951_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u953_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u954_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u955_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u956_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u957_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u959_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u965_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u966_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u967_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u968_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u970_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u971_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u972_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u973_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u974_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u975_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u976_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u977_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u978_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u979_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u980_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u981_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u982_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u984_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u985_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u986_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u987_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u988_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u989_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u990_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u991_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u992_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u993_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u994_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u996_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u997_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u998_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u999_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(195)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(195)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(195)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(195)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(240)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(240)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(240)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(240)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/mux8_b0_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n21_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n22_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n25_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n27 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n6_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel0_b0/or_B0_B1_o_lutinv ; // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(72)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b0_def_6_sel_is_2_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_end ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(65)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_ready ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(63)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_req ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(85)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/CrcNext[0] ; // ../rtl/Ethernet/sources_1/mac/crc.v(18)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(49)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(50)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(121)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(121)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(121)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(121)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(170)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(170)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(170)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(170)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c19 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(274)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(274)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(274)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(274)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c1 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(274)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(274)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c13 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(274)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(274)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(274)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c5 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(274)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(274)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c9 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(274)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c1 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(195)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(195)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c13 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(195)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(195)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(195)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c5 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(195)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(195)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c9 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(195)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c1 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(215)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(215)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c13 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(215)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(215)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(215)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c5 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(215)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(215)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c9 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(215)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c1 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(236)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(236)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c13 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(236)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(236)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(236)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c5 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(236)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(236)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c9 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(236)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/c1 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/c5 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(264)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_finish ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(41)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c1 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c11 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c13 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c15 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c3 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c5 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c7 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c9 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n12 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n14_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n21_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n22 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n25_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n38 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n3_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n6_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n73 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n81 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n85 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n87 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n89 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_6_sel_is_2_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_ack ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(88)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_end ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(61)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_ready ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(59)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_req ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(87)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c1 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(114)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(114)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c13 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(114)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(114)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(114)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c5 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(114)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(114)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c9 ; // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(114)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n11_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n12_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c1 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(184)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(184)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c13 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(184)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(184)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(184)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c5 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(184)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(184)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c9 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(184)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_data_valid_tmp ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(38)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_end_dly ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(36)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n10 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n18 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n20 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n24 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n4_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n7_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_arp_tx_ack ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(92)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_data_req ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(54)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_ip_tx_ack ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(91)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_ack ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(89)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_end ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(57)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_ready ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(56)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_req ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(90)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c1 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(109)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(109)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c13 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(109)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(109)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(109)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c5 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(109)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(109)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c9 ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(109)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n10 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n12 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1000_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1001_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1003_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1006_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1013_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1015_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1016_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1019_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1020_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1022_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1024_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1025_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1028_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1029_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1031_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1033_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1034_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1037_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1038_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1041_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1042_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1045_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1046_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u767_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u803_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u827_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u847_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u848_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u936_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u958_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u959_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u962_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u963_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u964_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u965_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u966_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u967_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u969_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u972_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u974_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u977_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u978_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u979_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u980_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u981_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u982_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u983_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u984_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u985_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u986_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u987_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u988_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u989_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u990_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u992_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u993_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u994_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u995_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u998_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u999_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(227)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(227)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(227)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(227)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c1 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(336)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(336)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c13 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(336)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(336)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(336)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c5 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(336)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(336)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c9 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(336)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c19 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c23 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c27 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c31 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c1 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(583)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(583)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c13 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(583)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(583)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(583)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c5 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(583)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(583)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c9 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(583)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c19 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c23 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c27 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c31 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(503)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(503)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(503)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(503)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4/c1 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4_co ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2_co ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c1 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c13 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c5 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c9 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(493)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_finish ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(166)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_rd ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(164)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_wr ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(163)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c1 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c11 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c13 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c15 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c3 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c5 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c7 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c9 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c1 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c11 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c13 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c15 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c17 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c3 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c5 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c7 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c9 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c1 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c11 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c13 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c15 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c3 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c5 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c7 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c9 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c1 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c11 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c13 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c15 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c3 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c5 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c7 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c9 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c1 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c11 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c13 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c15 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c17 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c3 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c5 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c7 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c9 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n124 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n148 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n20 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n23 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n31 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n33 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n3_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n69 ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rd_en ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(37)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_0_sel_is_2_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_6_sel_is_2_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_9_sel_is_2_o ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(323)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(323)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(323)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(323)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/c11 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(393)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/c15 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(393)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/c3 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(393)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/c7 ; // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(393)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_empty ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_empty_neg ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_full ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_full_neg ;
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_and_and_and__al__o ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(36)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_and_and_and__al__o_al_n21 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(37)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_re_empty_equal_o_o ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(46)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_we_full_equal_o__o ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(47)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g0 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(48)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g1 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(49)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g2 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(50)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g3 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(51)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g4 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(52)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(97)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0_al_n14 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(98)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(101)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1_al_n15 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(102)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(105)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2_al_n16 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(106)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q3 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(109)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q3_al_n17 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(110)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q4 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(113)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q4_al_n18 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(114)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q5 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(115)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q5_al_n19 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(116)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g0 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(117)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g1 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(118)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g2 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(119)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g3 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(120)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g4 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(121)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g_bin_d10 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(122)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g_bin_d11 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(123)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g_bin_d12 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(124)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g_bin_d13 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(125)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdusedw_sub/c1 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(128)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdusedw_sub/c3 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(130)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g0 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(132)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g1 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(133)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g2 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(134)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g3 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(135)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g4 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(136)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g_bin_d10 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(137)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g_bin_d11 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(138)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g_bin_d12 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(139)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g_bin_d13 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(140)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g0 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(142)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g1 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(143)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g2 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(144)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g3 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(145)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g4 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(147)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g0 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(154)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g1 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(155)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g2 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(156)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g3 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(157)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g4 ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(158)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_rdptr_g4_rdptr_g_o ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(178)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_sync_delayed_wrp_o ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(179)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_wrptr_g4_wrptr_g_o ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(181)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_xor_rdptr_g4_rdp_o ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(182)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_xor_sync_delayed_o ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(183)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_xor_xor_rdptr_g4_o ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(186)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_xor_xor_sync_del_o ; // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(187)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_ready ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(73)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_req_tmp ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(74)
wire \ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_tx_ready ; // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_top.v(76)
wire \ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ;
wire \ethernet_i0/mac_test0/mac_top0/upper_data_req ; // ../rtl/Ethernet/sources_1/mac/mac_top.v(57)
wire \ethernet_i0/mac_test0/mux19_b0_sel_is_2_o ;
wire \ethernet_i0/mac_test0/n11_lutinv ;
wire \ethernet_i0/mac_test0/n13_lutinv ;
wire \ethernet_i0/mac_test0/n14_lutinv ;
wire \ethernet_i0/mac_test0/n19_lutinv ;
wire \ethernet_i0/mac_test0/n22 ;
wire \ethernet_i0/mac_test0/n46 ;
wire \ethernet_i0/mac_test0/n46_0_0_0 ;
wire \ethernet_i0/mac_test0/n46_0_0_1 ;
wire \ethernet_i0/mac_test0/n46_0_1_0 ;
wire \ethernet_i0/mac_test0/n46_0_1_1 ;
wire \ethernet_i0/mac_test0/n46_1_0_0 ;
wire \ethernet_i0/mac_test0/n46_1_0_1 ;
wire \ethernet_i0/mac_test0/n46_1_1_0 ;
wire \ethernet_i0/mac_test0/n46_1_1_1 ;
wire \ethernet_i0/mac_test0/n49 ;
wire \ethernet_i0/mac_test0/n49_0 ;
wire \ethernet_i0/mac_test0/n49_0_0_0 ;
wire \ethernet_i0/mac_test0/n49_0_0_1 ;
wire \ethernet_i0/mac_test0/n49_0_1_0 ;
wire \ethernet_i0/mac_test0/n49_0_1_1 ;
wire \ethernet_i0/mac_test0/n49_1 ;
wire \ethernet_i0/mac_test0/n49_1_0_0 ;
wire \ethernet_i0/mac_test0/n49_1_0_1 ;
wire \ethernet_i0/mac_test0/n49_1_1_0 ;
wire \ethernet_i0/mac_test0/n49_1_1_1 ;
wire \ethernet_i0/mac_test0/n59_lutinv ;
wire \ethernet_i0/mac_test0/n69_lutinv ;
wire \ethernet_i0/mac_test0/n91 ;
wire \ethernet_i0/mac_test0/n92 ;
wire \ethernet_i0/mac_test0/ram_wr_en ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(28)
wire \ethernet_i0/mac_test0/trigger_delay ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(278)
wire \ethernet_i0/mac_test0/trigger_delay1 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(278)
wire \ethernet_i0/mac_test0/trigger_delay2 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(278)
wire \ethernet_i0/mac_test0/trigger_delay3 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(278)
wire \ethernet_i0/mac_test0/trigger_delay4 ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(278)
wire \ethernet_i0/mac_test0/trigger_send ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(58)
wire \ethernet_i0/mac_test0/udp_ram_data_req ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(29)
wire \ethernet_i0/mac_test0/udp_tx_req ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(34)
wire \ethernet_i0/mac_test0/write_end ; // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(37)
wire \ethernet_i0/miim_top_m0/miim_ack ; // ../rtl/Ethernet/sources_1/imports/miim/miim_top.vhd(40)
wire \ethernet_i0/miim_top_m0/miim_control_inst/add1/c11 ; // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(184)
wire \ethernet_i0/miim_top_m0/miim_control_inst/add1/c15 ; // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(184)
wire \ethernet_i0/miim_top_m0/miim_control_inst/add1/c19 ; // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(184)
wire \ethernet_i0/miim_top_m0/miim_control_inst/add1/c23 ; // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(184)
wire \ethernet_i0/miim_top_m0/miim_control_inst/add1/c3 ; // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(184)
wire \ethernet_i0/miim_top_m0/miim_control_inst/add1/c7 ; // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(184)
wire \ethernet_i0/miim_top_m0/miim_control_inst/add2/net_cout3_lutinv ;
wire \ethernet_i0/miim_top_m0/miim_control_inst/mux12_sel_is_0_o ;
wire \ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ;
wire \ethernet_i0/miim_top_m0/miim_control_inst/reset_wait_counter ; // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(112)
wire \ethernet_i0/miim_top_m0/miim_inst/add0/c1 ; // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(150)
wire \ethernet_i0/miim_top_m0/miim_inst/add0/c3 ; // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(150)
wire \ethernet_i0/miim_top_m0/miim_inst/add0/c5 ; // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(150)
wire \ethernet_i0/miim_top_m0/miim_inst/add1/c1 ; // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(166)
wire \ethernet_i0/miim_top_m0/miim_inst/add1/c3 ; // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(166)
wire \ethernet_i0/miim_top_m0/miim_inst/add1/c5 ; // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(166)
wire \ethernet_i0/miim_top_m0/miim_inst/lt0_c1 ;
wire \ethernet_i0/miim_top_m0/miim_inst/lt0_c3 ;
wire \ethernet_i0/miim_top_m0/miim_inst/lt0_c5 ;
wire \ethernet_i0/miim_top_m0/miim_inst/n2 ;
wire \ethernet_i0/miim_top_m0/miim_inst/n29 ;
wire \ethernet_i0/miim_top_m0/miim_inst/n32 ;
wire \ethernet_i0/miim_top_m0/miim_inst/n35_lutinv ;
wire \ethernet_i0/miim_top_m0/miim_inst/n6 ;
wire \ethernet_i0/miim_top_m0/miim_inst/n7 ;
wire \ethernet_i0/miim_top_m0/miim_req ; // ../rtl/Ethernet/sources_1/imports/miim/miim_top.vhd(39)
wire \ethernet_i0/miim_top_m0/miim_wr_en ; // ../rtl/Ethernet/sources_1/imports/miim/miim_top.vhd(41)
wire \ethernet_i0/util_gmii_to_rgmii_m0/gmii_rx_dv_s ; // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(45)
wire \ethernet_i0/util_gmii_to_rgmii_m0/gmii_tx_en_r ; // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(55)
wire \ethernet_i0/util_gmii_to_rgmii_m0/gmii_tx_en_r_d1 ; // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(58)
wire \ethernet_i0/util_gmii_to_rgmii_m0/n0 ;
wire \filter_unit/add0/c11 ; // ../rtl/peripherals/KeyScan.v(43)
wire \filter_unit/add0/c15 ; // ../rtl/peripherals/KeyScan.v(43)
wire \filter_unit/add0/c19 ; // ../rtl/peripherals/KeyScan.v(43)
wire \filter_unit/add0/c3 ; // ../rtl/peripherals/KeyScan.v(43)
wire \filter_unit/add0/c7 ; // ../rtl/peripherals/KeyScan.v(43)
wire \filter_unit/n3 ;
wire interrupt_UART; // ../rtl/topmodule/CortexM0_SoC.v(56)
wire rgmii_rxc_pad; // ../rtl/topmodule/CortexM0_SoC.v(31)
wire \scan_unit/add0/c11 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c15 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c19 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c23 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c27 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c3 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c31 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c7 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/n0 ;
wire \scan_unit/scan_clk ; // ../rtl/peripherals/KeyScan.v(7)
wire \scan_unit/scan_clk_gclk_net ;
wire \u_logic/A00iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/A0fow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1047)
wire \u_logic/A1zhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(290)
wire \u_logic/A25iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(371)
wire \u_logic/A2ciu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(465)
wire \u_logic/A2lhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/A3iiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(545)
wire \u_logic/A4phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/A5ipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/A5niu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(613)
wire \u_logic/A6cbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/A6gow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1063)
wire \u_logic/A70iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/A85ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(855)
wire \u_logic/A8ihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(130)
wire \u_logic/A95iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(374)
wire \u_logic/A9row6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1211)
wire \u_logic/Aa2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/Aaiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(548)
wire \u_logic/Ab9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Acebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/Ad7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Admiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(602)
wire \u_logic/Ae0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(309)
wire \u_logic/Ag5iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(376)
wire \u_logic/Ahcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
wire \u_logic/Ahdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Ahdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Ahlpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Ahqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
wire \u_logic/Ajgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(524)
wire \u_logic/Ak0ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(792)
wire \u_logic/Alkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(137)
wire \u_logic/Altow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
wire \u_logic/Alziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(779)
wire \u_logic/Am5ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(860)
wire \u_logic/Am6iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(392)
wire \u_logic/Am7ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(948)
wire \u_logic/Amsow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1229)
wire \u_logic/Amupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
wire \u_logic/Anciu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(472)
wire \u_logic/Anrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1216)
wire \u_logic/Aoeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Aoqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
wire \u_logic/Apaiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(446)
wire \u_logic/Apcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Apihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(132)
wire \u_logic/Ar1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Ar1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(327)
wire \u_logic/Asupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
wire \u_logic/Atsow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1232)
wire \u_logic/Aujiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(569)
wire \u_logic/Aujpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
wire \u_logic/Auyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Av3ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(836)
wire \u_logic/Avwiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(743)
wire \u_logic/Avzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Aw4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/Ay1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(329)
wire \u_logic/Ay8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(423)
wire \u_logic/Az3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Azeiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(504)
wire \u_logic/Azliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(597)
wire \u_logic/Azziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(784)
wire \u_logic/B0cow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1007)
wire \u_logic/B1phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/B3gbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/B40iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/B4epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/B4mow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1142)
wire \u_logic/B56iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(385)
wire \u_logic/B5zow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1316)
wire \u_logic/B6cpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1490)
wire \u_logic/B74iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/B79bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/B7lpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/B9eax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/B9jbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
wire \u_logic/Ba1iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(320)
wire \u_logic/Bamiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(601)
wire \u_logic/Bb0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/Bbliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(588)
wire \u_logic/Bc3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
wire \u_logic/Bcabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Bccax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Bcdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/Bcgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/Bciax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/Bclpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Bepiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(643)
wire \u_logic/Bewiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(736)
wire \u_logic/Bf3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/Bggiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(523)
wire \u_logic/Bguiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(710)
wire \u_logic/Bi0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(310)
wire \u_logic/Biaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Bimow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1147)
wire \u_logic/Bisiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(684)
wire \u_logic/Bk7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Bngax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Bo1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(326)
wire \u_logic/Bofiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(513)
wire \u_logic/Bomiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(606)
wire \u_logic/Bp2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Bpliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(593)
wire \u_logic/Bq9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Brrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1217)
wire \u_logic/Bs3ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(835)
wire \u_logic/Bs4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(367)
wire \u_logic/Bt2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Btbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Bu6bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1691)
wire \u_logic/Buabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/Bvaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/Bvfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/Bw0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(315)
wire \u_logic/Bwdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/Bwliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(596)
wire \u_logic/Bx2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Bxbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/Bxdpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1514)
wire \u_logic/Bxeow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1046)
wire \u_logic/Bxzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/By4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(851)
wire \u_logic/C07bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1692)
wire \u_logic/C0fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(504)
wire \u_logic/C10bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/C10iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/C14bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/C17iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(397)
wire \u_logic/C1epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1515)
wire \u_logic/C1fax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/C1wpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1610)
wire \u_logic/C2ypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
wire \u_logic/C30bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/C34ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(839)
wire \u_logic/C3wpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1610)
wire \u_logic/C4dax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/C4ihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(130)
wire \u_logic/C4iiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(546)
wire \u_logic/C50bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/C53iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(345)
wire \u_logic/C59ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(907)
wire \u_logic/C5gbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/C5phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/C72qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/C7miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(600)
wire \u_logic/C7now6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
wire \u_logic/C80iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/C9low6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1131)
wire \u_logic/Ca1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Ca4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(842)
wire \u_logic/Carow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1211)
wire \u_logic/Cbbiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(455)
wire \u_logic/Cc2ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(816)
wire \u_logic/Cccbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/Ceabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Cemiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(603)
wire \u_logic/Cfliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(590)
wire \u_logic/Cfvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1609)
wire \u_logic/Cg5ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(858)
wire \u_logic/Cgkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(577)
wire \u_logic/Ch5iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(377)
wire \u_logic/Chkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(136)
wire \u_logic/Chwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1610)
wire \u_logic/Cj2ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(819)
wire \u_logic/Cjqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Cjwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Ck1ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(806)
wire \u_logic/Ckniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(618)
wire \u_logic/Cl1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(325)
wire \u_logic/Clihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(131)
wire \u_logic/Cmziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(780)
wire \u_logic/Cn7ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(949)
wire \u_logic/Cncbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Cndbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Coupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
wire \u_logic/Cpqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
wire \u_logic/Cpwiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(741)
wire \u_logic/Cq3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Crniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(621)
wire \u_logic/Cs1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(327)
wire \u_logic/Csmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Csnow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1164)
wire \u_logic/Ct0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(314)
wire \u_logic/Ctliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(595)
wire \u_logic/Cvciu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(475)
wire \u_logic/Cwiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(556)
wire \u_logic/Cwyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Cxcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Cxzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Cy4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/Cy9pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1461)
wire \u_logic/Cydbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Cykhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/Cyohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/Cz7ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(891)
wire \u_logic/Cz8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(423)
wire \u_logic/Cznow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1167)
wire \u_logic/Czzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/D0jiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(557)
wire \u_logic/D1aax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/D1piu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(638)
wire \u_logic/D2opw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/D2phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/D2rpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/D31ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(799)
wire \u_logic/D39iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(425)
wire \u_logic/D43qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/D4miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(599)
wire \u_logic/D50iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/D5epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1517)
wire \u_logic/D6kiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(573)
wire \u_logic/D6zhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(292)
wire \u_logic/D70bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/D7gbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/D7xiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(747)
wire \u_logic/D84iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/D8iiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(547)
wire \u_logic/D99ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/DBGRESTARTED ; // ../rtl/topmodule/cortexm0ds_logic.v(104)
wire \u_logic/Daebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/Dagiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(521)
wire \u_logic/Daiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/Dbmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(602)
wire \u_logic/Dc0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(308)
wire \u_logic/Dd7ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(945)
wire \u_logic/Df3ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(830)
wire \u_logic/Df4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(362)
wire \u_logic/Dfbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Dfqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
wire \u_logic/Dg2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/Dhniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(617)
wire \u_logic/Di1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(323)
wire \u_logic/Di3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Dk6pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1415)
wire \u_logic/Dk7ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(947)
wire \u_logic/Dk9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Dkkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(578)
wire \u_logic/Dm6bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1691)
wire \u_logic/Dmeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Dmiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(552)
wire \u_logic/Dmpiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(646)
wire \u_logic/Dmqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1202)
wire \u_logic/Dncax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Do1ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(807)
wire \u_logic/Dpwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Dqfhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(125)
wire \u_logic/Drcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Drkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(581)
wire \u_logic/Ds4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(849)
wire \u_logic/Dsyhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(287)
wire \u_logic/Dt1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Dt4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(368)
wire \u_logic/Dtiiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(555)
wire \u_logic/Dugax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Dv2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
wire \u_logic/Dw1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(329)
wire \u_logic/Dx7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(409)
wire \u_logic/Dxvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1609)
wire \u_logic/Dyeow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1046)
wire \u_logic/Dyzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/Dzdow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1033)
wire \u_logic/Dzvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1610)
wire \u_logic/E05bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/E0ihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(130)
wire \u_logic/E18iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(411)
wire \u_logic/E1fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(504)
wire \u_logic/E1miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(598)
wire \u_logic/E20iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/E27iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(398)
wire \u_logic/E2epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/E2liu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(585)
wire \u_logic/E34bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/E3sow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
wire \u_logic/E4yhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(278)
wire \u_logic/E54iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/E5jow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1102)
wire \u_logic/E6iax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/E87ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(881)
wire \u_logic/E8iax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/E8miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(601)
wire \u_logic/E8now6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
wire \u_logic/E8uow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1251)
wire \u_logic/E90bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/E90iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/E97ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/E9ziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(775)
wire \u_logic/Ea7ow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(944)
wire \u_logic/Eafax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/Eagax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/Eariu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(668)
wire \u_logic/Eb4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(842)
wire \u_logic/Eblhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(139)
wire \u_logic/Eccow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1011)
wire \u_logic/Ed3iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(348)
wire \u_logic/Edapw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1466)
wire \u_logic/Eegiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(523)
wire \u_logic/Ef8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(416)
wire \u_logic/Efdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Efgow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1066)
wire \u_logic/Eg7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(403)
wire \u_logic/Egaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Eghbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Egziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(777)
wire \u_logic/Ehihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(131)
wire \u_logic/Ehqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Ejaju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(926)
wire \u_logic/Ejcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1014)
wire \u_logic/Elgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Elnpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1594)
wire \u_logic/Emmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(606)
wire \u_logic/En7pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1430)
wire \u_logic/Eoyiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(767)
wire \u_logic/Epjiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(567)
wire \u_logic/Epyhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(286)
wire \u_logic/Eqqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1204)
wire \u_logic/Er9ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(915)
wire \u_logic/Erbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Eriow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1097)
wire \u_logic/Esabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Esniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(621)
wire \u_logic/Et8iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(421)
wire \u_logic/Etfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/Etmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Eudax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/Eukhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(137)
wire \u_logic/Evbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/Evhpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/Evkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(582)
wire \u_logic/Evzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Ew5pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1407)
wire \u_logic/Ewjiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(569)
wire \u_logic/Eyyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Ez1ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(811)
wire \u_logic/Ezohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/F0eow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1034)
wire \u_logic/F0riu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(664)
wire \u_logic/F0zow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1314)
wire \u_logic/F14ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(839)
wire \u_logic/F15pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1395)
wire \u_logic/F17ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/F26bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1690)
wire \u_logic/F2dax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/F33pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1369)
wire \u_logic/F3aiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(438)
wire \u_logic/F3phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/F4iax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/F4ibx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
wire \u_logic/F51iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(319)
wire \u_logic/F59bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
wire \u_logic/F5miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(599)
wire \u_logic/F5uow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1249)
wire \u_logic/F60iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/F6dbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/F6ziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(774)
wire \u_logic/F7eax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/F7jbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
wire \u_logic/F7zhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(293)
wire \u_logic/F8cbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/F8dbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/F93ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(828)
wire \u_logic/F94iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/F9gbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/F9vpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/Fa9ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(909)
wire \u_logic/Facax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Facbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/Fb0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Fb1ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(802)
wire \u_logic/Fb2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(334)
wire \u_logic/Fb9pw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1452)
wire \u_logic/Fc1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Fe2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/Ffqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(657)
wire \u_logic/Fgpiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(644)
wire \u_logic/Fgqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
wire \u_logic/Fhoiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(631)
wire \u_logic/Finiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(618)
wire \u_logic/Fj1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(324)
wire \u_logic/Fj8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
wire \u_logic/Fjdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Fk6ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(872)
wire \u_logic/Fkliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(592)
wire \u_logic/Fkrpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1602)
wire \u_logic/Fl2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Fldbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Fm6ow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(935)
wire \u_logic/Fm7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Fnnpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1594)
wire \u_logic/Fnpiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(646)
wire \u_logic/Fnqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
wire \u_logic/Fo9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Fpaow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(989)
wire \u_logic/Fpgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(527)
wire \u_logic/Fpnpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/Fpvow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1270)
wire \u_logic/Fq8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(420)
wire \u_logic/Fr0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(313)
wire \u_logic/Frziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(781)
wire \u_logic/Fsdiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(488)
wire \u_logic/Ftaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/Ftqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(662)
wire \u_logic/Fv9ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(917)
wire \u_logic/Fvcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Fviow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1099)
wire \u_logic/Fyfow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1060)
wire \u_logic/Fyliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(597)
wire \u_logic/Fzkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(584)
wire \u_logic/Fzsow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1234)
wire \u_logic/Fzzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/G0phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/G0zax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/G18ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(892)
wire \u_logic/G1aow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(981)
wire \u_logic/G25bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/G2fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(505)
wire \u_logic/G2iax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/G2miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(598)
wire \u_logic/G30iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/G3eiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(492)
wire \u_logic/G3epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/G4eow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1035)
wire \u_logic/G54bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/G64iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/G6cow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1009)
wire \u_logic/G79ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/G7lhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/G82iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(333)
wire \u_logic/G8ebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/G8how6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1077)
wire \u_logic/G91iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(320)
wire \u_logic/G9fiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(507)
wire \u_logic/Ga0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/Gbvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/Gc1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
wire \u_logic/Gcrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1212)
wire \u_logic/Gd0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Gdjow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1105)
wire \u_logic/Gdqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1199)
wire \u_logic/Geoiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(630)
wire \u_logic/Gfniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(617)
wire \u_logic/Gg1pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1347)
wire \u_logic/Ggabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Gglhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(139)
wire \u_logic/Gh0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(310)
wire \u_logic/Gihbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Gk4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(364)
wire \u_logic/Gk4pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1389)
wire \u_logic/Gkcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1014)
wire \u_logic/Gkeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Gkqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1202)
wire \u_logic/Gl1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
wire \u_logic/Glapw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1469)
wire \u_logic/Gm2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(338)
wire \u_logic/Gm9iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(432)
wire \u_logic/Gnqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Go0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(312)
wire \u_logic/Golpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Gpqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Gpyiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(767)
wire \u_logic/Gq4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(848)
wire \u_logic/Gqkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(137)
wire \u_logic/Gr2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Gt2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(341)
wire \u_logic/Gumiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(609)
wire \u_logic/Gv1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/Gv6ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(877)
wire \u_logic/Gw6bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1691)
wire \u_logic/Gwdpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1514)
wire \u_logic/Gwwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Gwxpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
wire \u_logic/Gwzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Gxrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1220)
wire \u_logic/Gylpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Gyxpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
wire \u_logic/Gz6ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1625)
wire \u_logic/Gz9ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(918)
wire \u_logic/Gzeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/H00iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/H0ebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/H15ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(852)
wire \u_logic/H2ciu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(465)
wire \u_logic/H34iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(358)
wire \u_logic/H3bpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1476)
wire \u_logic/H3lpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/H4bax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/H4phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/H4ypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
wire \u_logic/H4zax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/H70iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/H78ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(956)
wire \u_logic/H7hbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/H8gax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/H8low6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1130)
wire \u_logic/H9kow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1117)
wire \u_logic/H9row6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1211)
wire \u_logic/HALTED ; // ../rtl/topmodule/cortexm0ds_logic.v(105)
wire \u_logic/HMASTER ; // ../rtl/topmodule/cortexm0ds_logic.v(97)
wire \u_logic/Ha3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(829)
wire \u_logic/Habiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(454)
wire \u_logic/Halax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1653)
wire \u_logic/Hawiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(735)
wire \u_logic/Haxow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1291)
wire \u_logic/Hb3iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(348)
wire \u_logic/Hbbow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(998)
wire \u_logic/Hbgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Hcgiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(522)
wire \u_logic/Hcuiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(709)
wire \u_logic/Hd8iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(415)
wire \u_logic/Hdbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Hdfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Heaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Hemow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1146)
wire \u_logic/Hf0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Hg3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
wire \u_logic/Hg7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Hgrpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/Hhiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(550)
wire \u_logic/Hhqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
wire \u_logic/Hhvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1609)
wire \u_logic/Hi9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Hirpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/Hj9pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1455)
wire \u_logic/Hjgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Hkgow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1068)
wire \u_logic/Hlcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Hlliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(592)
wire \u_logic/Hltow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
wire \u_logic/Hlwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Hlziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(779)
wire \u_logic/Hm7ow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(948)
wire \u_logic/Hmzhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(298)
wire \u_logic/Hmzow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1323)
wire \u_logic/Hnqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(660)
wire \u_logic/Hnrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1216)
wire \u_logic/Hoxow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1297)
wire \u_logic/Hpbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Hpcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Hqabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Hqgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(527)
wire \u_logic/Hrfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/Hsdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/Hsliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(595)
wire \u_logic/Htbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/Htmpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1593)
wire \u_logic/Htyiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(769)
wire \u_logic/Hv3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(836)
wire \u_logic/Hviiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(556)
wire \u_logic/Hw8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Hwhiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(543)
wire \u_logic/Hwhpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/Hymiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(610)
wire \u_logic/Hz0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(316)
wire \u_logic/Hz9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Hzliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(597)
wire \u_logic/I0dax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/I0opw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/I0wiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(731)
wire \u_logic/I1lpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/I1phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/I28ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(893)
wire \u_logic/I2zax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/I30ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(786)
wire \u_logic/I3fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(505)
wire \u_logic/I3gow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1062)
wire \u_logic/I3lhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/I40iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/I45bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/I46ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(867)
wire \u_logic/I4eiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(492)
wire \u_logic/I4epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1517)
wire \u_logic/I4rpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/I55ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(854)
wire \u_logic/I5xax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1674)
wire \u_logic/I6yhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(279)
wire \u_logic/I74bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/I74iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/I7cow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1010)
wire \u_logic/I82ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(815)
wire \u_logic/I8lax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1653)
wire \u_logic/I9ihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(130)
wire \u_logic/Ia8iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(414)
wire \u_logic/Iagow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1064)
wire \u_logic/Ib0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(308)
wire \u_logic/Ibliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(588)
wire \u_logic/Ibqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1599)
wire \u_logic/Ibsiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(682)
wire \u_logic/Ic6pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1413)
wire \u_logic/Id4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(843)
wire \u_logic/Id6ow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(932)
wire \u_logic/Iddax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Idkow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1119)
wire \u_logic/Idqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1599)
wire \u_logic/Ie1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Iecow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1012)
wire \u_logic/Iekax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/Ig2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(336)
wire \u_logic/Ih0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Iiliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(591)
wire \u_logic/Iimow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1147)
wire \u_logic/Iixpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1612)
wire \u_logic/Ikhbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Ilpiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(646)
wire \u_logic/Im2ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(820)
wire \u_logic/Im3iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(352)
wire \u_logic/Im9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Imhbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/In9iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(432)
wire \u_logic/Ipsiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(687)
wire \u_logic/Iqsow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1231)
wire \u_logic/Iqzhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(300)
wire \u_logic/Ir6ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(937)
wire \u_logic/Irmpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1593)
wire \u_logic/Isjpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
wire \u_logic/Itbow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1004)
wire \u_logic/Itcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Iuaow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(991)
wire \u_logic/Iugiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(529)
wire \u_logic/Iv1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(328)
wire \u_logic/Iv1pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1353)
wire \u_logic/Ivmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(609)
wire \u_logic/Iw0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(315)
wire \u_logic/Ixriu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(677)
wire \u_logic/Ixzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Iyyhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(289)
wire \u_logic/Iz3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(838)
wire \u_logic/J0gax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/J0iax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/J10iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/J1epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1515)
wire \u_logic/J2sow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
wire \u_logic/J39bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
wire \u_logic/J44iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(358)
wire \u_logic/J4cbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/J59ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/J5eax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/J5jbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
wire \u_logic/J5phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/J69pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1450)
wire \u_logic/J6ebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/J6zax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/J71iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(319)
wire \u_logic/J71pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1344)
wire \u_logic/J77ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(881)
wire \u_logic/J7xax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1674)
wire \u_logic/J80iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/J80pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1331)
wire \u_logic/J8cax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/J8eiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(494)
wire \u_logic/J8ziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(774)
wire \u_logic/J9eow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1037)
wire \u_logic/J9kiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(574)
wire \u_logic/J9zhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(293)
wire \u_logic/Ja5pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1398)
wire \u_logic/Jaqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(655)
wire \u_logic/Jckax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/Jcpow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1185)
wire \u_logic/Jdgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Jf6ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(871)
wire \u_logic/Jf7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(403)
wire \u_logic/Jfdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/Jflpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Jgkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(577)
wire \u_logic/Jgxpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1612)
wire \u_logic/Jhebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/Jhrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1214)
wire \u_logic/Jieax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Jiiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(551)
wire \u_logic/Jj0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Jkniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(618)
wire \u_logic/Jl3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Jl8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(418)
wire \u_logic/Jlmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(605)
wire \u_logic/Jo4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(847)
wire \u_logic/Johbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Jp9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/Jpmpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1593)
wire \u_logic/Jr9iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(434)
wire \u_logic/Jraax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/Jrypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1615)
wire \u_logic/Js7ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(889)
wire \u_logic/Jsmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Jvkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/Jvvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1609)
wire \u_logic/Jx1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/Jxaiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(449)
wire \u_logic/Jxgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1645)
wire \u_logic/Jy9iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(436)
wire \u_logic/Jyohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/Jz2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
wire \u_logic/Jz8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(423)
wire \u_logic/Jzmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(611)
wire \u_logic/K0qiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(651)
wire \u_logic/K0xiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(745)
wire \u_logic/K1cow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1007)
wire \u_logic/K2phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/K39iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(425)
wire \u_logic/K3niu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(612)
wire \u_logic/K50iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/K56ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(867)
wire \u_logic/K5eiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(493)
wire \u_logic/K5hbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/K5ihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(130)
wire \u_logic/K5liu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(586)
wire \u_logic/K65bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/K66iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(386)
wire \u_logic/K6gax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/K7xiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(747)
wire \u_logic/K7yow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1304)
wire \u_logic/K84iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/K94bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Ka8ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(896)
wire \u_logic/Kadbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/Kakax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/Kalpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Kc6ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(870)
wire \u_logic/Kcaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Kctow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1239)
wire \u_logic/Ke1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
wire \u_logic/Kfcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
wire \u_logic/Kgoiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(630)
wire \u_logic/Khgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Khniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(617)
wire \u_logic/Khvow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1267)
wire \u_logic/Ki3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
wire \u_logic/Kjziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(779)
wire \u_logic/Kkkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(578)
wire \u_logic/Kkriu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(672)
wire \u_logic/Kkyiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(766)
wire \u_logic/Kl0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Kl4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(846)
wire \u_logic/Kl8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
wire \u_logic/Kldow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1028)
wire \u_logic/Klrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1215)
wire \u_logic/Klyow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1309)
wire \u_logic/Kmqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1202)
wire \u_logic/Kn1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
wire \u_logic/Kn2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Knbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Koabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Kojpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
wire \u_logic/Kpfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/Kq7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(407)
wire \u_logic/Kqdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Kqhbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Kr7ow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(950)
wire \u_logic/Krbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/Krkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(581)
wire \u_logic/Krlpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Ksgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Kshbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Kswpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Kt4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(368)
wire \u_logic/Kubow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1005)
wire \u_logic/Kv9iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(435)
wire \u_logic/Kw1iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(329)
wire \u_logic/Kwfiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(516)
wire \u_logic/Kwlpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Kx0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(316)
wire \u_logic/Kxeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/Kxhpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/Kxziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(784)
wire \u_logic/Kyzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/Kzabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/Kzkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/L03qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/L0niu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(611)
wire \u_logic/L0ypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
wire \u_logic/L18iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(411)
wire \u_logic/L1bbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/L20iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/L2bax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/L2epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/L3sow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
wire \u_logic/L3zhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(291)
wire \u_logic/L45iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(372)
wire \u_logic/L4lax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
wire \u_logic/L54iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/L5lpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/L6lax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1653)
wire \u_logic/L87ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(881)
wire \u_logic/L88iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(413)
wire \u_logic/L8gow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1063)
wire \u_logic/L8kax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/L8now6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
wire \u_logic/L8tiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(694)
wire \u_logic/L8uow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1251)
wire \u_logic/L8zax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/L90iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/L9bbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/L9eiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(494)
wire \u_logic/L9mow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1144)
wire \u_logic/L9tow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1238)
wire \u_logic/L9xax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1674)
wire \u_logic/LOCKUP ; // ../rtl/topmodule/cortexm0ds_logic.v(107)
wire \u_logic/Lb4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(842)
wire \u_logic/Lbbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Lbyhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(281)
wire \u_logic/Lclhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(139)
wire \u_logic/Ldoiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(629)
wire \u_logic/Ldvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/Le2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/Lfgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Lfgow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1066)
wire \u_logic/Lg1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Lg9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Lgkax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/Lhbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Li2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
wire \u_logic/Li5iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(377)
wire \u_logic/Li7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Liabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Ljbpw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1482)
wire \u_logic/Ljcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Ljiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(551)
wire \u_logic/Ljqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1201)
wire \u_logic/Lk3iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(351)
wire \u_logic/Lk9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Llaow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(988)
wire \u_logic/Lm1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(325)
wire \u_logic/Lm1pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1349)
wire \u_logic/Lm7ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(887)
wire \u_logic/Lmkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1717)
wire \u_logic/Ln0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Lokiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(580)
wire \u_logic/Losow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1230)
wire \u_logic/Lp7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Lqcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1017)
wire \u_logic/Lqqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1204)
wire \u_logic/Lr9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/Ls1ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(809)
wire \u_logic/Ltmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Lu0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(315)
wire \u_logic/Lv7ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(952)
wire \u_logic/Lvlow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1139)
wire \u_logic/Lvzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Lwjiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(569)
wire \u_logic/Lx9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Lycax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/Lywpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Lzohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/M0eow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1034)
wire \u_logic/M15iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(371)
wire \u_logic/M1jiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(558)
wire \u_logic/M1xiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(745)
wire \u_logic/M24iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(358)
wire \u_logic/M2ebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/M3phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/M4ebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/M60iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/M6cax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/M6eiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(493)
wire \u_logic/M6fow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1049)
wire \u_logic/M6kax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/M6rpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/M7zhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(293)
wire \u_logic/M81qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
wire \u_logic/M85bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/M8fax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/M8ipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/M8row6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1211)
wire \u_logic/M94iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/Mb1ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(802)
wire \u_logic/Mb4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Mbdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Md0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(308)
wire \u_logic/Mdfow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1052)
wire \u_logic/Mdziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(776)
wire \u_logic/Me6pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1413)
wire \u_logic/Mfyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Mg3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(831)
wire \u_logic/Mgeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Mh1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
wire \u_logic/Miihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(131)
wire \u_logic/Miniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(618)
wire \u_logic/Mjmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(605)
wire \u_logic/Mjnow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1161)
wire \u_logic/Mk3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
wire \u_logic/Mmjiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(566)
wire \u_logic/Mmyhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(285)
wire \u_logic/Mnmpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1593)
wire \u_logic/Mnqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
wire \u_logic/Mnxow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1296)
wire \u_logic/Mp0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Mpgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(527)
wire \u_logic/Mpniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(620)
wire \u_logic/Mrfow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1057)
wire \u_logic/Ms5bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1689)
wire \u_logic/Mt4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(849)
wire \u_logic/Mtrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1218)
wire \u_logic/Mu3ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(836)
wire \u_logic/Muhbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
wire \u_logic/Mvkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/My0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(316)
wire \u_logic/Mz1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/Mz6iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(397)
wire \u_logic/Mzihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(132)
wire \u_logic/Mzkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(584)
wire \u_logic/Mzzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/N0cbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/N0phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/N0viu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(718)
wire \u_logic/N0xpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/N19bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
wire \u_logic/N30iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/N39ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/N3eax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/N3epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/N3hbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/N3jbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
wire \u_logic/N3ziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(773)
wire \u_logic/N45ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(853)
wire \u_logic/N4gax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/N4kax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/N5bbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/N61qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
wire \u_logic/N64iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/N7pow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1183)
wire \u_logic/N8rpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/N98iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(414)
wire \u_logic/N9gow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1064)
wire \u_logic/N9now6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
wire \u_logic/Na0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/Naaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Nazax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/Nbkiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(575)
wire \u_logic/Nbxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Ncjiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(562)
wire \u_logic/Nckbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/Ncyhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(281)
wire \u_logic/Nd3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/Nfgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Nfqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Ng8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(416)
wire \u_logic/Ngmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(604)
wire \u_logic/Nhgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Nhmow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1147)
wire \u_logic/Nhtow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1241)
wire \u_logic/Nj2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Nj5pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1402)
wire \u_logic/Nk3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(832)
wire \u_logic/Nkaju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(926)
wire \u_logic/Nkwiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(739)
wire \u_logic/Nlbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Nlcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Nmabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Nmfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Nn8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(419)
wire \u_logic/Nnfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/No3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Nodax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Np7ow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(949)
wire \u_logic/Npaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/Npghu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(127)
wire \u_logic/Nq4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(848)
wire \u_logic/Nr0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Nr4iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(367)
wire \u_logic/Nr7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Nrkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/Nrqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Ns8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Nsoiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(635)
wire \u_logic/Nt9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/Ntuiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(715)
wire \u_logic/Nu5bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1689)
wire \u_logic/Nu9ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(978)
wire \u_logic/Numiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(609)
wire \u_logic/Nv3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Nv9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/Nwbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/Nwdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Nwdpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1514)
wire \u_logic/Nweow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1046)
wire \u_logic/Nwzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Nybbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/Nycow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1020)
wire \u_logic/Nyhpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/Nyiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(557)
wire \u_logic/Nz2ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(825)
wire \u_logic/Nzapw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1475)
wire \u_logic/O00iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/O16pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1409)
wire \u_logic/O1mpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
wire \u_logic/O2kax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/O34iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(358)
wire \u_logic/O4phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/O59iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(426)
wire \u_logic/O70iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/O86iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(387)
wire \u_logic/O8lhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(139)
wire \u_logic/Oa4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(361)
wire \u_logic/Oa5bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/Oarpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/Oaxow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1292)
wire \u_logic/Oc2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(335)
wire \u_logic/Od4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Odfiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(509)
wire \u_logic/Oe7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(402)
wire \u_logic/Oeziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(777)
wire \u_logic/Of5ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(857)
wire \u_logic/Ofmpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
wire \u_logic/Ogdow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1026)
wire \u_logic/Oh4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(363)
wire \u_logic/Oh8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
wire \u_logic/Ohyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Oi1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Oi9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Oi9ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(912)
wire \u_logic/Oikax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/Ojebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1706)
wire \u_logic/Ok7ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(886)
wire \u_logic/Ok8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(418)
wire \u_logic/Ok9ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(974)
wire \u_logic/Okfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Oltow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
wire \u_logic/Om3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Opbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/Orkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(137)
wire \u_logic/Ot0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Ot7ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(951)
wire \u_logic/Oulpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Ov3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(837)
wire \u_logic/Ov4pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1393)
wire \u_logic/Oveax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/Ovihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(132)
wire \u_logic/Ovpiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(649)
wire \u_logic/Owcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/Owhbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
wire \u_logic/Owoiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(636)
wire \u_logic/Ox9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/Oxhhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(129)
wire \u_logic/Oxkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/Oy8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(423)
wire \u_logic/Oyhbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
wire \u_logic/Oz0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(317)
wire \u_logic/Ozeiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(504)
wire \u_logic/P0bax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/P0biu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(451)
wire \u_logic/P0cow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1007)
wire \u_logic/P0ibx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
wire \u_logic/P0kax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/P12bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/P14qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1625)
wire \u_logic/P1phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/P22iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(331)
wire \u_logic/P23qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/P33bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
wire \u_logic/P3tiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(692)
wire \u_logic/P3uow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1249)
wire \u_logic/P40iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/P4cax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/P4epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1517)
wire \u_logic/P4liu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(586)
wire \u_logic/P5vpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/P73ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(828)
wire \u_logic/P74iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/P8aiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(440)
wire \u_logic/P8viu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(721)
wire \u_logic/P91ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(802)
wire \u_logic/P92iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(334)
wire \u_logic/P93qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/P98ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(895)
wire \u_logic/P9bax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/P9niu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(614)
wire \u_logic/Pa7ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(882)
wire \u_logic/Pagow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1064)
wire \u_logic/Panow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1158)
wire \u_logic/Pb0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(308)
wire \u_logic/Pbbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/Pczax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/Pdbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Pdxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Pdyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1676)
wire \u_logic/Pe7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Pe9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Peeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Pexpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1612)
wire \u_logic/Pg3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/Pgjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Ph8iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(417)
wire \u_logic/Phcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Pifax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Piziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(778)
wire \u_logic/Pjgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Pjyiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(765)
wire \u_logic/Pk4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(846)
wire \u_logic/Pkdow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1028)
wire \u_logic/Pkkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1717)
wire \u_logic/Pl4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(365)
wire \u_logic/Plcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1015)
wire \u_logic/Pm3pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1376)
wire \u_logic/Pmapw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1470)
wire \u_logic/Pmlpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Pnvow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1270)
wire \u_logic/Pp7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(406)
wire \u_logic/Pqsow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1231)
wire \u_logic/Pqzow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1324)
wire \u_logic/Prdow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1030)
wire \u_logic/Pt2ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(822)
wire \u_logic/Pt7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Pthiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(542)
wire \u_logic/Pu1ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(809)
wire \u_logic/Puwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Pv0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Pv9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Pvuow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1259)
wire \u_logic/Pxriu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(677)
wire \u_logic/Pxzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Pyyhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(289)
wire \u_logic/Pz9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/Q0fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(504)
wire \u_logic/Q10iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/Q1epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/Q1hbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/Q2eow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1035)
wire \u_logic/Q2gax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/Q2ibx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
wire \u_logic/Q2yiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(759)
wire \u_logic/Q2zhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(291)
wire \u_logic/Q34ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(840)
wire \u_logic/Q3qiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(652)
wire \u_logic/Q44iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/Q4dbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/Q4wiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(733)
wire \u_logic/Q53pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1370)
wire \u_logic/Q5hiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(533)
wire \u_logic/Q5phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/Q6fax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/Q7uow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1250)
wire \u_logic/Q80iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/Q87iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(400)
wire \u_logic/Q89bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Q8aax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Q8eiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(494)
wire \u_logic/Q8tow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1237)
wire \u_logic/Q9dax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Qa1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
wire \u_logic/Qa5iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(374)
wire \u_logic/Qaihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(130)
wire \u_logic/Qakbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/Qaqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(655)
wire \u_logic/Qc3pw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1373)
wire \u_logic/Qc5bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1689)
wire \u_logic/Qcaiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(442)
wire \u_logic/Qe8iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(416)
wire \u_logic/Qehbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Qf4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Qh5iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(377)
wire \u_logic/Qipiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(645)
wire \u_logic/Qiqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1201)
wire \u_logic/Qj1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
wire \u_logic/Qj2ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(819)
wire \u_logic/Qjbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Qjcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Qjyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Qkabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Qkniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(619)
wire \u_logic/Ql8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(418)
wire \u_logic/Qlfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1707)
wire \u_logic/Qmdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Qnkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(137)
wire \u_logic/Qo3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Qodow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1029)
wire \u_logic/Qoxiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(754)
wire \u_logic/Qr9iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(434)
wire \u_logic/Qrgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(528)
wire \u_logic/Qrihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(132)
wire \u_logic/Qs9ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(977)
wire \u_logic/Qsfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Qsmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Qt7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(408)
wire \u_logic/Qtfow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1058)
wire \u_logic/Qudbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Queow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1045)
wire \u_logic/Qufax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/Qusow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1232)
wire \u_logic/Qv4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(850)
wire \u_logic/Qwfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/Qwfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/Qwpiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(650)
wire \u_logic/Qx0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Qxoiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(637)
wire \u_logic/Qyjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Qyniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(624)
wire \u_logic/Qynpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/Qyohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/Qz0ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(798)
wire \u_logic/R04ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(838)
wire \u_logic/R05iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(370)
wire \u_logic/R0ghu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(125)
wire \u_logic/R19ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/R1abx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/R1eax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/R3how6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1075)
wire \u_logic/R3vpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/R50iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/R6zhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(292)
wire \u_logic/R7kpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
wire \u_logic/R84iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/R9aiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(441)
wire \u_logic/R9mpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
wire \u_logic/R9yax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1676)
wire \u_logic/Ra2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/Rb7ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(883)
wire \u_logic/Rcliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(589)
wire \u_logic/Rcziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(776)
wire \u_logic/Rerow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1213)
wire \u_logic/Reyhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(282)
wire \u_logic/Rezax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/Rfxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Rg9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Rh2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(337)
wire \u_logic/Rhgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(524)
wire \u_logic/Rhkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
wire \u_logic/Rhniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(617)
wire \u_logic/Rijbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Rilpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Rimiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(604)
wire \u_logic/Rjtow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1241)
wire \u_logic/Rjziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(779)
wire \u_logic/Rk1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Rk5ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(859)
wire \u_logic/Rkbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Rkkax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/Rkkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(578)
wire \u_logic/Rksow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1228)
wire \u_logic/Rkzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(298)
wire \u_logic/Rlgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Rm2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
wire \u_logic/Rmbpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1483)
wire \u_logic/Rmcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1015)
wire \u_logic/Rnaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Ro8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Ro8ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(901)
wire \u_logic/Rq0qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1618)
wire \u_logic/Rr3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Rs4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(849)
wire \u_logic/Rs5pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1405)
wire \u_logic/Rskax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
wire \u_logic/Rteax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/Rucax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/Rv7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Rv9pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1460)
wire \u_logic/Rvniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(623)
wire \u_logic/Rw1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(329)
wire \u_logic/Rw8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(422)
wire \u_logic/Rwgow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1072)
wire \u_logic/Rwjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Rx6ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(877)
wire \u_logic/Ry2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Ryfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/Ryzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/Rz0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Rz8bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
wire \u_logic/Rzciu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(477)
wire \u_logic/S02iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(330)
wire \u_logic/S0kbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/S0lhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/S11bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/S18iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(411)
wire \u_logic/S1fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(505)
wire \u_logic/S20iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/S2cax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/S2cbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/S2epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/S2ziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(772)
wire \u_logic/S32bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/S3mpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
wire \u_logic/S3sow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
wire \u_logic/S4kbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/S54iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/S63iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(346)
wire \u_logic/S6ihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(130)
wire \u_logic/S7mpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
wire \u_logic/S8now6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
wire \u_logic/S8uow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1251)
wire \u_logic/S90iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/S98ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(957)
wire \u_logic/SLEEPHOLDACKn ; // ../rtl/topmodule/cortexm0ds_logic.v(113)
wire \u_logic/SWCLKTCK_pad ; // ../rtl/topmodule/cortexm0ds_logic.v(79)
wire \u_logic/SWCLKTCK_pad_gclk_net ;
wire \u_logic/Sa6pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1412)
wire \u_logic/Saeow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1038)
wire \u_logic/Sb8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
wire \u_logic/Sbfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Sbrow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1212)
wire \u_logic/Sbxiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(749)
wire \u_logic/Sbyhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(281)
wire \u_logic/Scbiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(455)
wire \u_logic/Sd8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
wire \u_logic/Sddbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/Sdlpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Sdoiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(629)
wire \u_logic/Sejax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/Sg7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(403)
wire \u_logic/Sgjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/Sh4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Shopw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
wire \u_logic/Sijax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Sjbiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(458)
wire \u_logic/Sjkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(137)
wire \u_logic/Sjqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1201)
wire \u_logic/Skjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Slyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Smjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Sn4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Snihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(131)
wire \u_logic/Sojax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Sokiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(580)
wire \u_logic/Sq3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Sq3ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(835)
wire \u_logic/Sq4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(367)
wire \u_logic/Sqfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Sqjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Sqkax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
wire \u_logic/Sqwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Srbow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1004)
wire \u_logic/Ss0qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1618)
wire \u_logic/Ss9pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1459)
wire \u_logic/Ssjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/St1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(328)
wire \u_logic/Stkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/Stmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(609)
wire \u_logic/Su8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Sujax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Svzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Swjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Swyow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1313)
wire \u_logic/Sx3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Sy2ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(824)
wire \u_logic/Syjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Sz3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1625)
wire \u_logic/Szohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/T05ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(852)
wire \u_logic/T0ipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/T14ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(839)
wire \u_logic/T1jiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(558)
wire \u_logic/T1vpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/T23ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(826)
wire \u_logic/T24iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(358)
wire \u_logic/T2dbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/T2kbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/T3abx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/T3opw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/T3phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/T41ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(800)
wire \u_logic/T4aow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(982)
wire \u_logic/T5mpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
wire \u_logic/T5yax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1676)
wire \u_logic/T6aax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/T6kbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/T75ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(854)
wire \u_logic/T7bax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/T7riu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(667)
wire \u_logic/T82qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/T8kbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/T8yhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(280)
wire \u_logic/T94iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/T9kpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
wire \u_logic/TXEV ; // ../rtl/topmodule/cortexm0ds_logic.v(106)
wire \u_logic/Tajax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/Tb3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/Tc7ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(883)
wire \u_logic/Tc8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(415)
wire \u_logic/Tc9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Tceax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Tchbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Tcipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
wire \u_logic/Tcjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/Tcjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
wire \u_logic/Tezhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(295)
wire \u_logic/Tfcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Tgcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
wire \u_logic/Tgkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/Tgzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/Thcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Thiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
wire \u_logic/Thxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Tikbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/Tj1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(324)
wire \u_logic/Tjfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1707)
wire \u_logic/Tjkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/Tkdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Tkfow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1055)
wire \u_logic/Tkjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Tktow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
wire \u_logic/Tl4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Tlebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1706)
wire \u_logic/Tmjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Tmqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(659)
wire \u_logic/Tmrow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1216)
wire \u_logic/Tngbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Tnqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
wire \u_logic/To2ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(821)
wire \u_logic/Tokax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
wire \u_logic/Tptpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1605)
wire \u_logic/Tqgow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1070)
wire \u_logic/Tsdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Tsriu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(675)
wire \u_logic/Tt9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Ttjiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(568)
wire \u_logic/Tu3ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(836)
wire \u_logic/Tu4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(368)
wire \u_logic/Tucow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1018)
wire \u_logic/Tujbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Tw2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(342)
wire \u_logic/Tx8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(423)
wire \u_logic/Tyaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/Tyipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1586)
wire \u_logic/Tzdiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(490)
wire \u_logic/Tzgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/Tzsow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1234)
wire \u_logic/Tzzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/U03iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(344)
wire \u_logic/U0phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/U19iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(424)
wire \u_logic/U1kpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
wire \u_logic/U1uiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(705)
wire \u_logic/U28iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(411)
wire \u_logic/U2fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(505)
wire \u_logic/U2ihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(130)
wire \u_logic/U2tiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(692)
wire \u_logic/U30iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/U31bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/U3epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/U4fax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/U5yhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(279)
wire \u_logic/U64iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/U6piu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(640)
wire \u_logic/U6qow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1197)
wire \u_logic/U6wiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(734)
wire \u_logic/U73iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(346)
wire \u_logic/U7dax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/U7hiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(534)
wire \u_logic/U8jax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/U8uiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(708)
wire \u_logic/U98iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(414)
wire \u_logic/U9gow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1064)
wire \u_logic/U9now6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1158)
wire \u_logic/U9ypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1614)
wire \u_logic/Ua0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/Ua9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Ubkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(575)
wire \u_logic/Ubypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1614)
wire \u_logic/Uc4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(843)
wire \u_logic/Ud4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(362)
wire \u_logic/Ue9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Ufbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Ufebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/Ufopw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
wire \u_logic/Ug8iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(417)
wire \u_logic/Ugmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(604)
wire \u_logic/Uh2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Uizax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/Uj4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Uj4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(846)
wire \u_logic/Ujjiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(565)
wire \u_logic/Ujspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
wire \u_logic/Ujxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Uk3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(833)
wire \u_logic/Ukbpw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1483)
wire \u_logic/Ukcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1015)
wire \u_logic/Um1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Umkax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
wire \u_logic/Umniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(619)
wire \u_logic/Umuiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(713)
wire \u_logic/Unyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Uo0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(313)
wire \u_logic/Uofax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Uojbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Uoliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(593)
wire \u_logic/Uosiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(687)
wire \u_logic/Up4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Ur4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(367)
wire \u_logic/Ureax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Urgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/Us3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Uscax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/Usipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
wire \u_logic/Usjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Usnpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/Utqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Uu8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(422)
wire \u_logic/Uu9ow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(978)
wire \u_logic/Uunpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/Uvliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(596)
wire \u_logic/Uvsiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(690)
wire \u_logic/Uwdpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1514)
wire \u_logic/Uwkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/Uwzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Ux8bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
wire \u_logic/Uy4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(370)
wire \u_logic/Uyiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(557)
wire \u_logic/Uzaiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(450)
wire \u_logic/Uzhiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(544)
wire \u_logic/V00iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/V0cax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/V0jpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1586)
wire \u_logic/V1sow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1221)
wire \u_logic/V2kow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1115)
wire \u_logic/V34iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(358)
wire \u_logic/V4phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/V52bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/V52iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(332)
wire \u_logic/V53qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/V5abx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/V6jax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/V6now6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1156)
wire \u_logic/V70iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/V73bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
wire \u_logic/V8zhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(293)
wire \u_logic/Va7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Vacow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1011)
wire \u_logic/Vbspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
wire \u_logic/Vdmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(603)
wire \u_logic/Ve7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(402)
wire \u_logic/Vefax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Veziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(777)
wire \u_logic/Vgjpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
wire \u_logic/Vhpiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(644)
wire \u_logic/Vhspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
wire \u_logic/Vibax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Vihiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(538)
wire \u_logic/Vj3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Vjniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(618)
wire \u_logic/Vk1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(324)
wire \u_logic/Vk1pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1349)
wire \u_logic/Vk9ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(974)
wire \u_logic/Vkuow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1255)
wire \u_logic/Vkzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Vlaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Vlxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Vmipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
wire \u_logic/Vn9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Vnyhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(285)
wire \u_logic/Vo3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(834)
wire \u_logic/Vobiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(460)
wire \u_logic/Voqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
wire \u_logic/Vowiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(740)
wire \u_logic/Vp3iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(353)
wire \u_logic/Vpgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Vpkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/Vplpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Vq2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(340)
wire \u_logic/Vq9iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(434)
wire \u_logic/Vqgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Vqjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Vr1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(327)
wire \u_logic/Vrmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Vrtpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1606)
wire \u_logic/Vtzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(301)
wire \u_logic/Vuciu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(475)
wire \u_logic/Vviiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(556)
wire \u_logic/Vvpiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(649)
wire \u_logic/Vwapw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1474)
wire \u_logic/Vx9iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(436)
wire \u_logic/Vygax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1645)
wire \u_logic/Vynow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1167)
wire \u_logic/Vytiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(704)
wire \u_logic/Vz8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Vzdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/Vzjpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
wire \u_logic/Vzupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/W0dbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/W0jax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/W0piu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(638)
wire \u_logic/W1phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/W1wow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1275)
wire \u_logic/W2jax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/W40iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/W48ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(955)
wire \u_logic/W4aax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/W4epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1517)
wire \u_logic/W4jax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/W4siu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(680)
wire \u_logic/W51bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/W55ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(854)
wire \u_logic/W5ypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1614)
wire \u_logic/W6ipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/W6yhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(279)
wire \u_logic/W74iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/W7biu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(453)
wire \u_logic/W7cow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1010)
wire \u_logic/W83iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(347)
wire \u_logic/W8hbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/W9lhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(139)
wire \u_logic/Wahbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Wamiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(602)
wire \u_logic/Wanow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1158)
wire \u_logic/Wb0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(308)
wire \u_logic/Wc2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/Wc5ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(856)
wire \u_logic/Wdyow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1306)
wire \u_logic/We3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(830)
wire \u_logic/Wfcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Wfihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(131)
wire \u_logic/Wfspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
wire \u_logic/Wfviu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(724)
wire \u_logic/Wgipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
wire \u_logic/Wh0ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(791)
wire \u_logic/Wh9ow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(973)
wire \u_logic/Whgow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1067)
wire \u_logic/Widax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Wj6pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1415)
wire \u_logic/Wjyiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(765)
wire \u_logic/Wkciu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(472)
wire \u_logic/Wkipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
wire \u_logic/Wkjiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(565)
wire \u_logic/Wlcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1015)
wire \u_logic/Wlspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
wire \u_logic/Wlxow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1296)
wire \u_logic/Wmviu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(726)
wire \u_logic/Wmzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Wnxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Wo1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(326)
wire \u_logic/Wofiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(513)
wire \u_logic/Woiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
wire \u_logic/Womiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(607)
wire \u_logic/Wpyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Wq8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Wqdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Wqzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(300)
wire \u_logic/Wr4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/Wr4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(849)
wire \u_logic/Wrcpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1499)
wire \u_logic/Ws4iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(368)
wire \u_logic/Wskhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(137)
wire \u_logic/Wt2ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(823)
wire \u_logic/Wt3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Wtviu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(729)
wire \u_logic/Wtwow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1285)
wire \u_logic/Wtxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Wu3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Wv8pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1447)
wire \u_logic/Wv9ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(979)
wire \u_logic/Wvgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1645)
wire \u_logic/Ww0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(316)
wire \u_logic/Ww6ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(877)
wire \u_logic/Wwiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
wire \u_logic/Wwihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(132)
wire \u_logic/Wxgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/Wxjpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
wire \u_logic/Wxyiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(771)
wire \u_logic/Wxzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/Wy4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(851)
wire \u_logic/Wyiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/Wz4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(370)
wire \u_logic/Wzpiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(651)
wire \u_logic/X07ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(879)
wire \u_logic/X10iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/X1epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/X1fow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1048)
wire \u_logic/X1liu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(585)
wire \u_logic/X42qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/X44iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/X4wiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(733)
wire \u_logic/X53pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1370)
wire \u_logic/X5bax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/X5opw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/X5phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/X5upw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1606)
wire \u_logic/X6jpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1586)
wire \u_logic/X6niu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(613)
wire \u_logic/X7abx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/X7miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(600)
wire \u_logic/X7now6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
wire \u_logic/X7ypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1614)
wire \u_logic/X80iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/X8ziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(775)
wire \u_logic/X9zhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(294)
wire \u_logic/Xaeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Xajbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
wire \u_logic/Xbcow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1011)
wire \u_logic/Xc9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Xd2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(335)
wire \u_logic/Xdcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Xdebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/Xdspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
wire \u_logic/Xf7pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1427)
wire \u_logic/Xf8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
wire \u_logic/Xfliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(590)
wire \u_logic/Xfmow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1146)
wire \u_logic/Xi4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(364)
wire \u_logic/Xiaju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(925)
wire \u_logic/Xibiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(457)
wire \u_logic/Xiipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
wire \u_logic/Xn7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Xnbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Xo1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Xozax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Xpeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Xpqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1204)
wire \u_logic/Xpxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Xq2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
wire \u_logic/Xqcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/Xr9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Xraow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(990)
wire \u_logic/Xrgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(528)
wire \u_logic/Xrxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Xs1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(327)
wire \u_logic/Xsgow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1071)
wire \u_logic/Xsmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Xttow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1245)
wire \u_logic/Xu2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Xuiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
wire \u_logic/Xuyiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(769)
wire \u_logic/Xuzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(301)
wire \u_logic/Xv6ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(938)
wire \u_logic/Xv8bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
wire \u_logic/Xvqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Xvrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1219)
wire \u_logic/Xwaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/Xwqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1206)
wire \u_logic/Xx6bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1691)
wire \u_logic/Xxqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Xxupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/Xyohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/Xznow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1167)
wire \u_logic/Y0gbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/Y1qow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1195)
wire \u_logic/Y23pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1369)
wire \u_logic/Y2fax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/Y2phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/Y3niu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(612)
wire \u_logic/Y40ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(787)
wire \u_logic/Y47ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(880)
wire \u_logic/Y4miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(599)
wire \u_logic/Y50iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/Y5dax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/Y5eiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(493)
wire \u_logic/Y5liu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(586)
wire \u_logic/Y75iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(373)
wire \u_logic/Y7opw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
wire \u_logic/Y84iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/Y8lpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Y93iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(347)
wire \u_logic/Y9hiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(534)
wire \u_logic/Ya1ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(802)
wire \u_logic/Yavow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1265)
wire \u_logic/Yb8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(415)
wire \u_logic/Yc7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(402)
wire \u_logic/Yc7pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1426)
wire \u_logic/Ycliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(589)
wire \u_logic/Yctow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1239)
wire \u_logic/Ydeow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1039)
wire \u_logic/Ydgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Ydkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(576)
wire \u_logic/Ydopw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
wire \u_logic/Yecpw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1494)
wire \u_logic/Yf1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
wire \u_logic/Yf3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(831)
wire \u_logic/Yfcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
wire \u_logic/Yfqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
wire \u_logic/Yg3iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(350)
wire \u_logic/Yh8ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(898)
wire \u_logic/Yi1iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(324)
wire \u_logic/Yi7ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(885)
wire \u_logic/Yi8iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(417)
wire \u_logic/Yjaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Yjtow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
wire \u_logic/Yjupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
wire \u_logic/Yklpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Yljiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(566)
wire \u_logic/Ym3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Ym4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(365)
wire \u_logic/Ymwiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(740)
wire \u_logic/Ymwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Yo1ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(807)
wire \u_logic/Yogax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Yokhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(137)
wire \u_logic/Yoniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(620)
wire \u_logic/Yp8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(420)
wire \u_logic/Yqzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Yryax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Ys4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(849)
wire \u_logic/Ysiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
wire \u_logic/Yt3ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(836)
wire \u_logic/Yt4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/Yubbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Yvabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/Yvgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(529)
wire \u_logic/Yvjpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
wire \u_logic/Yvoow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1179)
wire \u_logic/Yw1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(329)
wire \u_logic/Yw3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Yxdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/Yxrpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1602)
wire \u_logic/Yybax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/Yyzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/Yzlpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Yzqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(664)
wire \u_logic/Yzqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/Yzspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1604)
wire \u_logic/Z0niu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(611)
wire \u_logic/Z18iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(411)
wire \u_logic/Z1miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(598)
wire \u_logic/Z20iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/Z2aax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Z2epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/Z3dpw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1503)
wire \u_logic/Z3sow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
wire \u_logic/Z47ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Z4jiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(559)
wire \u_logic/Z54iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/Z5aju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(921)
wire \u_logic/Z63iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(346)
wire \u_logic/Z67ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Z6aiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(440)
wire \u_logic/Z71bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Z73qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/Z79pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1451)
wire \u_logic/Z89ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(970)
wire \u_logic/Z8jpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1586)
wire \u_logic/Z90iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/Z9abx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Z9opw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
wire \u_logic/Zb5pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1399)
wire \u_logic/Zbjiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(562)
wire \u_logic/Zbyhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(281)
wire \u_logic/Zdcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/Zdiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/Zdtpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1605)
wire \u_logic/Zf7ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(884)
wire \u_logic/Zf8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(416)
wire \u_logic/Zfgow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1066)
wire \u_logic/Zfmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(603)
wire \u_logic/Zgbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Zgfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Zgziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(778)
wire \u_logic/Zi5iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(377)
wire \u_logic/Zl9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Zl9iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(432)
wire \u_logic/Zm8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Zodbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Zokiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(580)
wire \u_logic/Zqiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
wire \u_logic/Zrwow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1285)
wire \u_logic/Zslpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Zszax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Zt1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(328)
wire \u_logic/Ztgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/Ztmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(609)
wire \u_logic/Ztupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
wire \u_logic/Zu6ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(876)
wire \u_logic/Zuliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(596)
wire \u_logic/Zv5ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(863)
wire \u_logic/Zvgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/Zvkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(583)
wire \u_logic/Zvzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Zwnpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/Zx8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Zxxow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1300)
wire \u_logic/Zycbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/Zzohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/_al_u1000_o ;
wire \u_logic/_al_u1002_o ;
wire \u_logic/_al_u1008_o ;
wire \u_logic/_al_u1023_o ;
wire \u_logic/_al_u1026_o ;
wire \u_logic/_al_u1035_o ;
wire \u_logic/_al_u1039_o ;
wire \u_logic/_al_u1041_o ;
wire \u_logic/_al_u1045_o ;
wire \u_logic/_al_u1048_o ;
wire \u_logic/_al_u1050_o ;
wire \u_logic/_al_u1052_o ;
wire \u_logic/_al_u1053_o ;
wire \u_logic/_al_u1055_o ;
wire \u_logic/_al_u1058_o ;
wire \u_logic/_al_u1059_o ;
wire \u_logic/_al_u1060_o ;
wire \u_logic/_al_u1062_o ;
wire \u_logic/_al_u1064_o ;
wire \u_logic/_al_u1065_o ;
wire \u_logic/_al_u1066_o ;
wire \u_logic/_al_u1067_o ;
wire \u_logic/_al_u1068_o ;
wire \u_logic/_al_u1069_o ;
wire \u_logic/_al_u1070_o ;
wire \u_logic/_al_u1072_o ;
wire \u_logic/_al_u1074_o ;
wire \u_logic/_al_u1075_o ;
wire \u_logic/_al_u1076_o ;
wire \u_logic/_al_u1077_o ;
wire \u_logic/_al_u1079_o ;
wire \u_logic/_al_u1081_o ;
wire \u_logic/_al_u1083_o ;
wire \u_logic/_al_u1084_o ;
wire \u_logic/_al_u1086_o ;
wire \u_logic/_al_u1088_o ;
wire \u_logic/_al_u1089_o ;
wire \u_logic/_al_u108_o ;
wire \u_logic/_al_u1094_o ;
wire \u_logic/_al_u1095_o ;
wire \u_logic/_al_u1096_o ;
wire \u_logic/_al_u1097_o ;
wire \u_logic/_al_u1098_o ;
wire \u_logic/_al_u1099_o ;
wire \u_logic/_al_u1101_o ;
wire \u_logic/_al_u1102_o ;
wire \u_logic/_al_u1103_o ;
wire \u_logic/_al_u1104_o ;
wire \u_logic/_al_u1105_o ;
wire \u_logic/_al_u1107_o ;
wire \u_logic/_al_u1114_o ;
wire \u_logic/_al_u1118_o ;
wire \u_logic/_al_u1122_o ;
wire \u_logic/_al_u1125_o ;
wire \u_logic/_al_u1129_o ;
wire \u_logic/_al_u1130_o ;
wire \u_logic/_al_u1133_o ;
wire \u_logic/_al_u1136_o ;
wire \u_logic/_al_u1138_o ;
wire \u_logic/_al_u1140_o ;
wire \u_logic/_al_u1141_o ;
wire \u_logic/_al_u1144_o ;
wire \u_logic/_al_u1145_o ;
wire \u_logic/_al_u1147_o ;
wire \u_logic/_al_u1148_o ;
wire \u_logic/_al_u1149_o ;
wire \u_logic/_al_u1150_o ;
wire \u_logic/_al_u1153_o ;
wire \u_logic/_al_u1154_o ;
wire \u_logic/_al_u1157_o ;
wire \u_logic/_al_u1159_o ;
wire \u_logic/_al_u1160_o ;
wire \u_logic/_al_u1161_o ;
wire \u_logic/_al_u1162_o ;
wire \u_logic/_al_u1163_o ;
wire \u_logic/_al_u1164_o ;
wire \u_logic/_al_u1166_o ;
wire \u_logic/_al_u1167_o ;
wire \u_logic/_al_u1168_o ;
wire \u_logic/_al_u1169_o ;
wire \u_logic/_al_u1170_o ;
wire \u_logic/_al_u1172_o ;
wire \u_logic/_al_u1173_o ;
wire \u_logic/_al_u1174_o ;
wire \u_logic/_al_u1175_o ;
wire \u_logic/_al_u1176_o ;
wire \u_logic/_al_u1177_o ;
wire \u_logic/_al_u1178_o ;
wire \u_logic/_al_u1180_o ;
wire \u_logic/_al_u1181_o ;
wire \u_logic/_al_u1182_o ;
wire \u_logic/_al_u1184_o ;
wire \u_logic/_al_u1185_o ;
wire \u_logic/_al_u1187_o ;
wire \u_logic/_al_u1188_o ;
wire \u_logic/_al_u1189_o ;
wire \u_logic/_al_u1190_o ;
wire \u_logic/_al_u1191_o ;
wire \u_logic/_al_u1193_o ;
wire \u_logic/_al_u1194_o ;
wire \u_logic/_al_u1195_o ;
wire \u_logic/_al_u1197_o ;
wire \u_logic/_al_u1199_o ;
wire \u_logic/_al_u1200_o ;
wire \u_logic/_al_u1201_o ;
wire \u_logic/_al_u1202_o ;
wire \u_logic/_al_u1204_o ;
wire \u_logic/_al_u1205_o ;
wire \u_logic/_al_u1206_o ;
wire \u_logic/_al_u1207_o ;
wire \u_logic/_al_u1209_o ;
wire \u_logic/_al_u1210_o ;
wire \u_logic/_al_u1214_o ;
wire \u_logic/_al_u1216_o ;
wire \u_logic/_al_u1217_o ;
wire \u_logic/_al_u1218_o ;
wire \u_logic/_al_u1219_o ;
wire \u_logic/_al_u1220_o ;
wire \u_logic/_al_u1223_o ;
wire \u_logic/_al_u1225_o ;
wire \u_logic/_al_u1226_o ;
wire \u_logic/_al_u1227_o ;
wire \u_logic/_al_u1228_o ;
wire \u_logic/_al_u1229_o ;
wire \u_logic/_al_u1230_o ;
wire \u_logic/_al_u1232_o ;
wire \u_logic/_al_u1234_o ;
wire \u_logic/_al_u1235_o ;
wire \u_logic/_al_u1236_o ;
wire \u_logic/_al_u1240_o ;
wire \u_logic/_al_u1241_o ;
wire \u_logic/_al_u1242_o ;
wire \u_logic/_al_u1243_o ;
wire \u_logic/_al_u1244_o ;
wire \u_logic/_al_u1245_o ;
wire \u_logic/_al_u1246_o ;
wire \u_logic/_al_u1247_o ;
wire \u_logic/_al_u1248_o ;
wire \u_logic/_al_u1249_o ;
wire \u_logic/_al_u124_o ;
wire \u_logic/_al_u1251_o ;
wire \u_logic/_al_u1252_o ;
wire \u_logic/_al_u1253_o ;
wire \u_logic/_al_u1254_o ;
wire \u_logic/_al_u1255_o ;
wire \u_logic/_al_u1257_o ;
wire \u_logic/_al_u1260_o ;
wire \u_logic/_al_u1261_o ;
wire \u_logic/_al_u1262_o ;
wire \u_logic/_al_u1263_o ;
wire \u_logic/_al_u1264_o ;
wire \u_logic/_al_u1265_o ;
wire \u_logic/_al_u1266_o ;
wire \u_logic/_al_u1267_o ;
wire \u_logic/_al_u1269_o ;
wire \u_logic/_al_u1270_o ;
wire \u_logic/_al_u1271_o ;
wire \u_logic/_al_u1272_o ;
wire \u_logic/_al_u1274_o ;
wire \u_logic/_al_u1275_o ;
wire \u_logic/_al_u1277_o ;
wire \u_logic/_al_u1278_o ;
wire \u_logic/_al_u1279_o ;
wire \u_logic/_al_u1280_o ;
wire \u_logic/_al_u1281_o ;
wire \u_logic/_al_u1282_o ;
wire \u_logic/_al_u1283_o ;
wire \u_logic/_al_u1284_o ;
wire \u_logic/_al_u1286_o ;
wire \u_logic/_al_u1287_o ;
wire \u_logic/_al_u1288_o ;
wire \u_logic/_al_u1289_o ;
wire \u_logic/_al_u1290_o ;
wire \u_logic/_al_u1291_o ;
wire \u_logic/_al_u1292_o ;
wire \u_logic/_al_u1294_o ;
wire \u_logic/_al_u1295_o ;
wire \u_logic/_al_u1296_o ;
wire \u_logic/_al_u1297_o ;
wire \u_logic/_al_u1298_o ;
wire \u_logic/_al_u1299_o ;
wire \u_logic/_al_u129_o ;
wire \u_logic/_al_u1300_o ;
wire \u_logic/_al_u1302_o ;
wire \u_logic/_al_u1303_o ;
wire \u_logic/_al_u1304_o ;
wire \u_logic/_al_u1306_o ;
wire \u_logic/_al_u1307_o ;
wire \u_logic/_al_u1310_o ;
wire \u_logic/_al_u1311_o ;
wire \u_logic/_al_u1312_o ;
wire \u_logic/_al_u1313_o ;
wire \u_logic/_al_u1314_o ;
wire \u_logic/_al_u1315_o ;
wire \u_logic/_al_u1317_o ;
wire \u_logic/_al_u1318_o ;
wire \u_logic/_al_u1319_o ;
wire \u_logic/_al_u131_o ;
wire \u_logic/_al_u1321_o ;
wire \u_logic/_al_u1322_o ;
wire \u_logic/_al_u1324_o ;
wire \u_logic/_al_u1325_o ;
wire \u_logic/_al_u1326_o ;
wire \u_logic/_al_u1327_o ;
wire \u_logic/_al_u1328_o ;
wire \u_logic/_al_u1330_o ;
wire \u_logic/_al_u1331_o ;
wire \u_logic/_al_u1332_o ;
wire \u_logic/_al_u1333_o ;
wire \u_logic/_al_u1334_o ;
wire \u_logic/_al_u1336_o ;
wire \u_logic/_al_u1337_o ;
wire \u_logic/_al_u1338_o ;
wire \u_logic/_al_u133_o ;
wire \u_logic/_al_u1340_o ;
wire \u_logic/_al_u1341_o ;
wire \u_logic/_al_u1343_o ;
wire \u_logic/_al_u1344_o ;
wire \u_logic/_al_u1345_o ;
wire \u_logic/_al_u1347_o ;
wire \u_logic/_al_u1348_o ;
wire \u_logic/_al_u1350_o ;
wire \u_logic/_al_u1351_o ;
wire \u_logic/_al_u1352_o ;
wire \u_logic/_al_u1354_o ;
wire \u_logic/_al_u1356_o ;
wire \u_logic/_al_u1357_o ;
wire \u_logic/_al_u1359_o ;
wire \u_logic/_al_u1360_o ;
wire \u_logic/_al_u1361_o ;
wire \u_logic/_al_u1362_o ;
wire \u_logic/_al_u1363_o ;
wire \u_logic/_al_u1364_o ;
wire \u_logic/_al_u1366_o ;
wire \u_logic/_al_u1368_o ;
wire \u_logic/_al_u1369_o ;
wire \u_logic/_al_u136_o ;
wire \u_logic/_al_u1370_o ;
wire \u_logic/_al_u1371_o ;
wire \u_logic/_al_u1372_o ;
wire \u_logic/_al_u1373_o ;
wire \u_logic/_al_u1375_o ;
wire \u_logic/_al_u1376_o ;
wire \u_logic/_al_u1377_o ;
wire \u_logic/_al_u1378_o ;
wire \u_logic/_al_u1379_o ;
wire \u_logic/_al_u137_o ;
wire \u_logic/_al_u1380_o ;
wire \u_logic/_al_u1382_o ;
wire \u_logic/_al_u1383_o ;
wire \u_logic/_al_u1384_o ;
wire \u_logic/_al_u1385_o ;
wire \u_logic/_al_u1386_o ;
wire \u_logic/_al_u1389_o ;
wire \u_logic/_al_u1390_o ;
wire \u_logic/_al_u1391_o ;
wire \u_logic/_al_u1392_o ;
wire \u_logic/_al_u1393_o ;
wire \u_logic/_al_u1394_o ;
wire \u_logic/_al_u1396_o ;
wire \u_logic/_al_u1397_o ;
wire \u_logic/_al_u1398_o ;
wire \u_logic/_al_u1399_o ;
wire \u_logic/_al_u139_o ;
wire \u_logic/_al_u1400_o ;
wire \u_logic/_al_u1401_o ;
wire \u_logic/_al_u1405_o ;
wire \u_logic/_al_u1406_o ;
wire \u_logic/_al_u1407_o ;
wire \u_logic/_al_u1408_o ;
wire \u_logic/_al_u1409_o ;
wire \u_logic/_al_u1410_o ;
wire \u_logic/_al_u1412_o ;
wire \u_logic/_al_u1413_o ;
wire \u_logic/_al_u1414_o ;
wire \u_logic/_al_u1415_o ;
wire \u_logic/_al_u1416_o ;
wire \u_logic/_al_u1418_o ;
wire \u_logic/_al_u1419_o ;
wire \u_logic/_al_u1420_o ;
wire \u_logic/_al_u1422_o ;
wire \u_logic/_al_u1423_o ;
wire \u_logic/_al_u1425_o ;
wire \u_logic/_al_u1426_o ;
wire \u_logic/_al_u1427_o ;
wire \u_logic/_al_u1429_o ;
wire \u_logic/_al_u1430_o ;
wire \u_logic/_al_u1432_o ;
wire \u_logic/_al_u1434_o ;
wire \u_logic/_al_u1435_o ;
wire \u_logic/_al_u1437_o ;
wire \u_logic/_al_u1438_o ;
wire \u_logic/_al_u1440_o ;
wire \u_logic/_al_u1441_o ;
wire \u_logic/_al_u1442_o ;
wire \u_logic/_al_u1443_o ;
wire \u_logic/_al_u1444_o ;
wire \u_logic/_al_u1445_o ;
wire \u_logic/_al_u1446_o ;
wire \u_logic/_al_u1448_o ;
wire \u_logic/_al_u1450_o ;
wire \u_logic/_al_u1451_o ;
wire \u_logic/_al_u1462_o ;
wire \u_logic/_al_u1463_o ;
wire \u_logic/_al_u1464_o ;
wire \u_logic/_al_u1466_o ;
wire \u_logic/_al_u1467_o ;
wire \u_logic/_al_u1469_o ;
wire \u_logic/_al_u146_o ;
wire \u_logic/_al_u1470_o ;
wire \u_logic/_al_u1472_o ;
wire \u_logic/_al_u1473_o ;
wire \u_logic/_al_u1474_o ;
wire \u_logic/_al_u1475_o ;
wire \u_logic/_al_u1478_o ;
wire \u_logic/_al_u1479_o ;
wire \u_logic/_al_u147_o ;
wire \u_logic/_al_u1480_o ;
wire \u_logic/_al_u1481_o ;
wire \u_logic/_al_u1482_o ;
wire \u_logic/_al_u1485_o ;
wire \u_logic/_al_u1486_o ;
wire \u_logic/_al_u1490_o ;
wire \u_logic/_al_u1491_o ;
wire \u_logic/_al_u1493_o ;
wire \u_logic/_al_u1494_o ;
wire \u_logic/_al_u1496_o ;
wire \u_logic/_al_u1498_o ;
wire \u_logic/_al_u1499_o ;
wire \u_logic/_al_u149_o ;
wire \u_logic/_al_u1500_o ;
wire \u_logic/_al_u1501_o ;
wire \u_logic/_al_u1502_o ;
wire \u_logic/_al_u1503_o ;
wire \u_logic/_al_u1504_o ;
wire \u_logic/_al_u1505_o ;
wire \u_logic/_al_u1506_o ;
wire \u_logic/_al_u1507_o ;
wire \u_logic/_al_u1509_o ;
wire \u_logic/_al_u1511_o ;
wire \u_logic/_al_u1512_o ;
wire \u_logic/_al_u1513_o ;
wire \u_logic/_al_u1515_o ;
wire \u_logic/_al_u1517_o ;
wire \u_logic/_al_u151_o ;
wire \u_logic/_al_u1520_o ;
wire \u_logic/_al_u1523_o ;
wire \u_logic/_al_u1525_o ;
wire \u_logic/_al_u1527_o ;
wire \u_logic/_al_u1529_o ;
wire \u_logic/_al_u1531_o ;
wire \u_logic/_al_u1533_o ;
wire \u_logic/_al_u1535_o ;
wire \u_logic/_al_u1537_o ;
wire \u_logic/_al_u1539_o ;
wire \u_logic/_al_u1541_o ;
wire \u_logic/_al_u1543_o ;
wire \u_logic/_al_u1545_o ;
wire \u_logic/_al_u1547_o ;
wire \u_logic/_al_u1549_o ;
wire \u_logic/_al_u154_o ;
wire \u_logic/_al_u1551_o ;
wire \u_logic/_al_u1553_o ;
wire \u_logic/_al_u1555_o ;
wire \u_logic/_al_u1557_o ;
wire \u_logic/_al_u1559_o ;
wire \u_logic/_al_u1561_o ;
wire \u_logic/_al_u1563_o ;
wire \u_logic/_al_u1565_o ;
wire \u_logic/_al_u1567_o ;
wire \u_logic/_al_u1569_o ;
wire \u_logic/_al_u1574_o ;
wire \u_logic/_al_u1575_o ;
wire \u_logic/_al_u1576_o ;
wire \u_logic/_al_u1577_o ;
wire \u_logic/_al_u1578_o ;
wire \u_logic/_al_u1581_o ;
wire \u_logic/_al_u1582_o ;
wire \u_logic/_al_u1583_o ;
wire \u_logic/_al_u1586_o ;
wire \u_logic/_al_u1588_o ;
wire \u_logic/_al_u1589_o ;
wire \u_logic/_al_u1590_o ;
wire \u_logic/_al_u1594_o ;
wire \u_logic/_al_u1596_o ;
wire \u_logic/_al_u1599_o ;
wire \u_logic/_al_u159_o ;
wire \u_logic/_al_u1600_o ;
wire \u_logic/_al_u1601_o ;
wire \u_logic/_al_u1603_o ;
wire \u_logic/_al_u1605_o ;
wire \u_logic/_al_u1606_o ;
wire \u_logic/_al_u1607_o ;
wire \u_logic/_al_u160_o ;
wire \u_logic/_al_u1611_o ;
wire \u_logic/_al_u1612_o ;
wire \u_logic/_al_u1613_o ;
wire \u_logic/_al_u1614_o ;
wire \u_logic/_al_u1617_o ;
wire \u_logic/_al_u1618_o ;
wire \u_logic/_al_u1619_o ;
wire \u_logic/_al_u161_o ;
wire \u_logic/_al_u1620_o ;
wire \u_logic/_al_u1621_o ;
wire \u_logic/_al_u1622_o ;
wire \u_logic/_al_u1623_o ;
wire \u_logic/_al_u1625_o ;
wire \u_logic/_al_u1627_o ;
wire \u_logic/_al_u1628_o ;
wire \u_logic/_al_u162_o ;
wire \u_logic/_al_u1630_o ;
wire \u_logic/_al_u1631_o ;
wire \u_logic/_al_u1633_o ;
wire \u_logic/_al_u1635_o ;
wire \u_logic/_al_u1637_o ;
wire \u_logic/_al_u1639_o ;
wire \u_logic/_al_u163_o ;
wire \u_logic/_al_u1644_o ;
wire \u_logic/_al_u1646_o ;
wire \u_logic/_al_u1648_o ;
wire \u_logic/_al_u164_o ;
wire \u_logic/_al_u1650_o ;
wire \u_logic/_al_u1652_o ;
wire \u_logic/_al_u1654_o ;
wire \u_logic/_al_u1656_o ;
wire \u_logic/_al_u1658_o ;
wire \u_logic/_al_u165_o ;
wire \u_logic/_al_u1660_o ;
wire \u_logic/_al_u1663_o ;
wire \u_logic/_al_u1665_o ;
wire \u_logic/_al_u1666_o ;
wire \u_logic/_al_u1667_o ;
wire \u_logic/_al_u1668_o ;
wire \u_logic/_al_u1669_o ;
wire \u_logic/_al_u1670_o ;
wire \u_logic/_al_u1672_o ;
wire \u_logic/_al_u1673_o ;
wire \u_logic/_al_u1674_o ;
wire \u_logic/_al_u1675_o ;
wire \u_logic/_al_u1676_o ;
wire \u_logic/_al_u1677_o ;
wire \u_logic/_al_u1679_o ;
wire \u_logic/_al_u1680_o ;
wire \u_logic/_al_u1681_o ;
wire \u_logic/_al_u1682_o ;
wire \u_logic/_al_u1685_o ;
wire \u_logic/_al_u1686_o ;
wire \u_logic/_al_u1687_o ;
wire \u_logic/_al_u1688_o ;
wire \u_logic/_al_u1690_o ;
wire \u_logic/_al_u1691_o ;
wire \u_logic/_al_u1693_o ;
wire \u_logic/_al_u1694_o ;
wire \u_logic/_al_u1696_o ;
wire \u_logic/_al_u1697_o ;
wire \u_logic/_al_u1699_o ;
wire \u_logic/_al_u1701_o ;
wire \u_logic/_al_u1702_o ;
wire \u_logic/_al_u1706_o ;
wire \u_logic/_al_u1711_o ;
wire \u_logic/_al_u1712_o ;
wire \u_logic/_al_u1714_o ;
wire \u_logic/_al_u1715_o ;
wire \u_logic/_al_u1718_o ;
wire \u_logic/_al_u1719_o ;
wire \u_logic/_al_u1720_o ;
wire \u_logic/_al_u1721_o ;
wire \u_logic/_al_u1724_o ;
wire \u_logic/_al_u1725_o ;
wire \u_logic/_al_u1727_o ;
wire \u_logic/_al_u1728_o ;
wire \u_logic/_al_u1729_o ;
wire \u_logic/_al_u1730_o ;
wire \u_logic/_al_u1731_o ;
wire \u_logic/_al_u1746_o ;
wire \u_logic/_al_u1748_o ;
wire \u_logic/_al_u1749_o ;
wire \u_logic/_al_u1750_o ;
wire \u_logic/_al_u1751_o ;
wire \u_logic/_al_u1752_o ;
wire \u_logic/_al_u1753_o ;
wire \u_logic/_al_u1754_o ;
wire \u_logic/_al_u1756_o ;
wire \u_logic/_al_u1760_o ;
wire \u_logic/_al_u1761_o ;
wire \u_logic/_al_u1762_o ;
wire \u_logic/_al_u1763_o ;
wire \u_logic/_al_u1764_o ;
wire \u_logic/_al_u1766_o ;
wire \u_logic/_al_u1767_o ;
wire \u_logic/_al_u1768_o ;
wire \u_logic/_al_u1770_o ;
wire \u_logic/_al_u1771_o ;
wire \u_logic/_al_u1773_o ;
wire \u_logic/_al_u1774_o ;
wire \u_logic/_al_u1775_o ;
wire \u_logic/_al_u1776_o ;
wire \u_logic/_al_u1779_o ;
wire \u_logic/_al_u1780_o ;
wire \u_logic/_al_u1781_o ;
wire \u_logic/_al_u1782_o ;
wire \u_logic/_al_u1785_o ;
wire \u_logic/_al_u1786_o ;
wire \u_logic/_al_u1787_o ;
wire \u_logic/_al_u1788_o ;
wire \u_logic/_al_u1789_o ;
wire \u_logic/_al_u1791_o ;
wire \u_logic/_al_u1793_o ;
wire \u_logic/_al_u1794_o ;
wire \u_logic/_al_u1797_o ;
wire \u_logic/_al_u1798_o ;
wire \u_logic/_al_u1800_o ;
wire \u_logic/_al_u1802_o ;
wire \u_logic/_al_u1803_o ;
wire \u_logic/_al_u1804_o ;
wire \u_logic/_al_u1805_o ;
wire \u_logic/_al_u1806_o ;
wire \u_logic/_al_u1808_o ;
wire \u_logic/_al_u1809_o ;
wire \u_logic/_al_u1810_o ;
wire \u_logic/_al_u1811_o ;
wire \u_logic/_al_u1812_o ;
wire \u_logic/_al_u1813_o ;
wire \u_logic/_al_u1814_o ;
wire \u_logic/_al_u1816_o ;
wire \u_logic/_al_u1817_o ;
wire \u_logic/_al_u1818_o ;
wire \u_logic/_al_u1820_o ;
wire \u_logic/_al_u1821_o ;
wire \u_logic/_al_u1822_o ;
wire \u_logic/_al_u1824_o ;
wire \u_logic/_al_u1827_o ;
wire \u_logic/_al_u1828_o ;
wire \u_logic/_al_u1829_o ;
wire \u_logic/_al_u1833_o ;
wire \u_logic/_al_u1834_o ;
wire \u_logic/_al_u1835_o ;
wire \u_logic/_al_u1836_o ;
wire \u_logic/_al_u1838_o ;
wire \u_logic/_al_u1840_o ;
wire \u_logic/_al_u184_o ;
wire \u_logic/_al_u1866_o ;
wire \u_logic/_al_u1867_o ;
wire \u_logic/_al_u1868_o ;
wire \u_logic/_al_u1869_o ;
wire \u_logic/_al_u1871_o ;
wire \u_logic/_al_u1872_o ;
wire \u_logic/_al_u1873_o ;
wire \u_logic/_al_u1875_o ;
wire \u_logic/_al_u1876_o ;
wire \u_logic/_al_u1877_o ;
wire \u_logic/_al_u1878_o ;
wire \u_logic/_al_u1879_o ;
wire \u_logic/_al_u1881_o ;
wire \u_logic/_al_u1883_o ;
wire \u_logic/_al_u1890_o ;
wire \u_logic/_al_u1891_o ;
wire \u_logic/_al_u1894_o ;
wire \u_logic/_al_u1897_o ;
wire \u_logic/_al_u1900_o ;
wire \u_logic/_al_u1901_o ;
wire \u_logic/_al_u1902_o ;
wire \u_logic/_al_u1903_o ;
wire \u_logic/_al_u1905_o ;
wire \u_logic/_al_u1906_o ;
wire \u_logic/_al_u1907_o ;
wire \u_logic/_al_u1908_o ;
wire \u_logic/_al_u190_o ;
wire \u_logic/_al_u1911_o ;
wire \u_logic/_al_u1912_o ;
wire \u_logic/_al_u1913_o ;
wire \u_logic/_al_u1914_o ;
wire \u_logic/_al_u1915_o ;
wire \u_logic/_al_u1917_o ;
wire \u_logic/_al_u1918_o ;
wire \u_logic/_al_u1922_o ;
wire \u_logic/_al_u1923_o ;
wire \u_logic/_al_u1925_o ;
wire \u_logic/_al_u1930_o ;
wire \u_logic/_al_u1931_o ;
wire \u_logic/_al_u1933_o ;
wire \u_logic/_al_u1935_o ;
wire \u_logic/_al_u1937_o ;
wire \u_logic/_al_u1939_o ;
wire \u_logic/_al_u1940_o ;
wire \u_logic/_al_u1942_o ;
wire \u_logic/_al_u1947_o ;
wire \u_logic/_al_u1949_o ;
wire \u_logic/_al_u1951_o ;
wire \u_logic/_al_u1953_o ;
wire \u_logic/_al_u1956_o ;
wire \u_logic/_al_u1958_o ;
wire \u_logic/_al_u1959_o ;
wire \u_logic/_al_u1961_o ;
wire \u_logic/_al_u1963_o ;
wire \u_logic/_al_u1965_o ;
wire \u_logic/_al_u1967_o ;
wire \u_logic/_al_u1968_o ;
wire \u_logic/_al_u1969_o ;
wire \u_logic/_al_u196_o ;
wire \u_logic/_al_u1970_o ;
wire \u_logic/_al_u1971_o ;
wire \u_logic/_al_u1973_o ;
wire \u_logic/_al_u1974_o ;
wire \u_logic/_al_u1975_o ;
wire \u_logic/_al_u1976_o ;
wire \u_logic/_al_u1977_o ;
wire \u_logic/_al_u1978_o ;
wire \u_logic/_al_u1979_o ;
wire \u_logic/_al_u197_o ;
wire \u_logic/_al_u1980_o ;
wire \u_logic/_al_u1983_o ;
wire \u_logic/_al_u1986_o ;
wire \u_logic/_al_u1987_o ;
wire \u_logic/_al_u1990_o ;
wire \u_logic/_al_u1991_o ;
wire \u_logic/_al_u1993_o ;
wire \u_logic/_al_u1994_o ;
wire \u_logic/_al_u1995_o ;
wire \u_logic/_al_u1996_o ;
wire \u_logic/_al_u1997_o ;
wire \u_logic/_al_u1999_o ;
wire \u_logic/_al_u2001_o ;
wire \u_logic/_al_u2002_o ;
wire \u_logic/_al_u2003_o ;
wire \u_logic/_al_u2004_o ;
wire \u_logic/_al_u2005_o ;
wire \u_logic/_al_u2006_o ;
wire \u_logic/_al_u2007_o ;
wire \u_logic/_al_u2008_o ;
wire \u_logic/_al_u2009_o ;
wire \u_logic/_al_u2013_o ;
wire \u_logic/_al_u2017_o ;
wire \u_logic/_al_u201_o ;
wire \u_logic/_al_u2022_o ;
wire \u_logic/_al_u2023_o ;
wire \u_logic/_al_u2025_o ;
wire \u_logic/_al_u2027_o ;
wire \u_logic/_al_u2028_o ;
wire \u_logic/_al_u2029_o ;
wire \u_logic/_al_u202_o ;
wire \u_logic/_al_u2030_o ;
wire \u_logic/_al_u2032_o ;
wire \u_logic/_al_u2033_o ;
wire \u_logic/_al_u2034_o ;
wire \u_logic/_al_u2036_o ;
wire \u_logic/_al_u2037_o ;
wire \u_logic/_al_u2038_o ;
wire \u_logic/_al_u203_o ;
wire \u_logic/_al_u2040_o ;
wire \u_logic/_al_u2041_o ;
wire \u_logic/_al_u2042_o ;
wire \u_logic/_al_u2043_o ;
wire \u_logic/_al_u2045_o ;
wire \u_logic/_al_u2046_o ;
wire \u_logic/_al_u2047_o ;
wire \u_logic/_al_u204_o ;
wire \u_logic/_al_u2050_o ;
wire \u_logic/_al_u2052_o ;
wire \u_logic/_al_u2053_o ;
wire \u_logic/_al_u2056_o ;
wire \u_logic/_al_u2057_o ;
wire \u_logic/_al_u2058_o ;
wire \u_logic/_al_u2061_o ;
wire \u_logic/_al_u2062_o ;
wire \u_logic/_al_u2063_o ;
wire \u_logic/_al_u2065_o ;
wire \u_logic/_al_u2067_o ;
wire \u_logic/_al_u2068_o ;
wire \u_logic/_al_u2070_o ;
wire \u_logic/_al_u2071_o ;
wire \u_logic/_al_u2072_o ;
wire \u_logic/_al_u2073_o ;
wire \u_logic/_al_u2074_o ;
wire \u_logic/_al_u2075_o ;
wire \u_logic/_al_u2076_o ;
wire \u_logic/_al_u2077_o ;
wire \u_logic/_al_u2078_o ;
wire \u_logic/_al_u2079_o ;
wire \u_logic/_al_u2080_o ;
wire \u_logic/_al_u2082_o ;
wire \u_logic/_al_u2083_o ;
wire \u_logic/_al_u2084_o ;
wire \u_logic/_al_u2085_o ;
wire \u_logic/_al_u2086_o ;
wire \u_logic/_al_u2088_o ;
wire \u_logic/_al_u2089_o ;
wire \u_logic/_al_u208_o ;
wire \u_logic/_al_u2090_o ;
wire \u_logic/_al_u2094_o ;
wire \u_logic/_al_u2097_o ;
wire \u_logic/_al_u2098_o ;
wire \u_logic/_al_u2099_o ;
wire \u_logic/_al_u209_o ;
wire \u_logic/_al_u2100_o ;
wire \u_logic/_al_u2103_o ;
wire \u_logic/_al_u2104_o ;
wire \u_logic/_al_u2105_o ;
wire \u_logic/_al_u2106_o ;
wire \u_logic/_al_u2108_o ;
wire \u_logic/_al_u2109_o ;
wire \u_logic/_al_u210_o ;
wire \u_logic/_al_u2110_o ;
wire \u_logic/_al_u2111_o ;
wire \u_logic/_al_u2112_o ;
wire \u_logic/_al_u2113_o ;
wire \u_logic/_al_u2114_o ;
wire \u_logic/_al_u2115_o ;
wire \u_logic/_al_u2116_o ;
wire \u_logic/_al_u2117_o ;
wire \u_logic/_al_u2118_o ;
wire \u_logic/_al_u2119_o ;
wire \u_logic/_al_u2120_o ;
wire \u_logic/_al_u2122_o ;
wire \u_logic/_al_u2123_o ;
wire \u_logic/_al_u2124_o ;
wire \u_logic/_al_u2125_o ;
wire \u_logic/_al_u2126_o ;
wire \u_logic/_al_u2127_o ;
wire \u_logic/_al_u2128_o ;
wire \u_logic/_al_u2129_o ;
wire \u_logic/_al_u2130_o ;
wire \u_logic/_al_u2131_o ;
wire \u_logic/_al_u2132_o ;
wire \u_logic/_al_u2134_o ;
wire \u_logic/_al_u2135_o ;
wire \u_logic/_al_u2138_o ;
wire \u_logic/_al_u2139_o ;
wire \u_logic/_al_u213_o ;
wire \u_logic/_al_u2140_o ;
wire \u_logic/_al_u2141_o ;
wire \u_logic/_al_u2142_o ;
wire \u_logic/_al_u2143_o ;
wire \u_logic/_al_u2144_o ;
wire \u_logic/_al_u2145_o ;
wire \u_logic/_al_u2146_o ;
wire \u_logic/_al_u2148_o ;
wire \u_logic/_al_u214_o ;
wire \u_logic/_al_u2150_o ;
wire \u_logic/_al_u2151_o ;
wire \u_logic/_al_u2152_o ;
wire \u_logic/_al_u2153_o ;
wire \u_logic/_al_u2155_o ;
wire \u_logic/_al_u2156_o ;
wire \u_logic/_al_u2157_o ;
wire \u_logic/_al_u2158_o ;
wire \u_logic/_al_u2159_o ;
wire \u_logic/_al_u215_o ;
wire \u_logic/_al_u2160_o ;
wire \u_logic/_al_u2161_o ;
wire \u_logic/_al_u2162_o ;
wire \u_logic/_al_u2163_o ;
wire \u_logic/_al_u2164_o ;
wire \u_logic/_al_u2165_o ;
wire \u_logic/_al_u2166_o ;
wire \u_logic/_al_u2167_o ;
wire \u_logic/_al_u2168_o ;
wire \u_logic/_al_u2169_o ;
wire \u_logic/_al_u2170_o ;
wire \u_logic/_al_u2171_o ;
wire \u_logic/_al_u2172_o ;
wire \u_logic/_al_u2174_o ;
wire \u_logic/_al_u2176_o ;
wire \u_logic/_al_u2177_o ;
wire \u_logic/_al_u2178_o ;
wire \u_logic/_al_u2181_o ;
wire \u_logic/_al_u2182_o ;
wire \u_logic/_al_u2183_o ;
wire \u_logic/_al_u2184_o ;
wire \u_logic/_al_u2185_o ;
wire \u_logic/_al_u2187_o ;
wire \u_logic/_al_u2188_o ;
wire \u_logic/_al_u2189_o ;
wire \u_logic/_al_u2190_o ;
wire \u_logic/_al_u2191_o ;
wire \u_logic/_al_u2193_o ;
wire \u_logic/_al_u2194_o ;
wire \u_logic/_al_u2195_o ;
wire \u_logic/_al_u2196_o ;
wire \u_logic/_al_u2197_o ;
wire \u_logic/_al_u2198_o ;
wire \u_logic/_al_u2199_o ;
wire \u_logic/_al_u219_o ;
wire \u_logic/_al_u2200_o ;
wire \u_logic/_al_u2201_o ;
wire \u_logic/_al_u2202_o ;
wire \u_logic/_al_u2203_o ;
wire \u_logic/_al_u2204_o ;
wire \u_logic/_al_u2205_o ;
wire \u_logic/_al_u2206_o ;
wire \u_logic/_al_u2207_o ;
wire \u_logic/_al_u2208_o ;
wire \u_logic/_al_u2209_o ;
wire \u_logic/_al_u220_o ;
wire \u_logic/_al_u2210_o ;
wire \u_logic/_al_u2211_o ;
wire \u_logic/_al_u2212_o ;
wire \u_logic/_al_u2213_o ;
wire \u_logic/_al_u2214_o ;
wire \u_logic/_al_u2215_o ;
wire \u_logic/_al_u2216_o ;
wire \u_logic/_al_u2217_o ;
wire \u_logic/_al_u2219_o ;
wire \u_logic/_al_u221_o ;
wire \u_logic/_al_u2220_o ;
wire \u_logic/_al_u2221_o ;
wire \u_logic/_al_u2222_o ;
wire \u_logic/_al_u2223_o ;
wire \u_logic/_al_u2224_o ;
wire \u_logic/_al_u2225_o ;
wire \u_logic/_al_u2226_o ;
wire \u_logic/_al_u2227_o ;
wire \u_logic/_al_u2229_o ;
wire \u_logic/_al_u222_o ;
wire \u_logic/_al_u2230_o ;
wire \u_logic/_al_u2231_o ;
wire \u_logic/_al_u2232_o ;
wire \u_logic/_al_u2233_o ;
wire \u_logic/_al_u2234_o ;
wire \u_logic/_al_u2236_o ;
wire \u_logic/_al_u2237_o ;
wire \u_logic/_al_u2238_o ;
wire \u_logic/_al_u2239_o ;
wire \u_logic/_al_u2240_o ;
wire \u_logic/_al_u2241_o ;
wire \u_logic/_al_u2242_o ;
wire \u_logic/_al_u2243_o ;
wire \u_logic/_al_u2244_o ;
wire \u_logic/_al_u2245_o ;
wire \u_logic/_al_u2247_o ;
wire \u_logic/_al_u2251_o ;
wire \u_logic/_al_u2252_o ;
wire \u_logic/_al_u2253_o ;
wire \u_logic/_al_u2256_o ;
wire \u_logic/_al_u225_o ;
wire \u_logic/_al_u226_o ;
wire \u_logic/_al_u2270_o ;
wire \u_logic/_al_u2277_o ;
wire \u_logic/_al_u2278_o ;
wire \u_logic/_al_u2279_o ;
wire \u_logic/_al_u227_o ;
wire \u_logic/_al_u2280_o ;
wire \u_logic/_al_u2282_o ;
wire \u_logic/_al_u2284_o ;
wire \u_logic/_al_u2285_o ;
wire \u_logic/_al_u2286_o ;
wire \u_logic/_al_u2287_o ;
wire \u_logic/_al_u2288_o ;
wire \u_logic/_al_u2289_o ;
wire \u_logic/_al_u228_o ;
wire \u_logic/_al_u2290_o ;
wire \u_logic/_al_u2291_o ;
wire \u_logic/_al_u2292_o ;
wire \u_logic/_al_u2293_o ;
wire \u_logic/_al_u2294_o ;
wire \u_logic/_al_u2295_o ;
wire \u_logic/_al_u2296_o ;
wire \u_logic/_al_u2298_o ;
wire \u_logic/_al_u2299_o ;
wire \u_logic/_al_u2300_o ;
wire \u_logic/_al_u2301_o ;
wire \u_logic/_al_u2303_o ;
wire \u_logic/_al_u2304_o ;
wire \u_logic/_al_u2305_o ;
wire \u_logic/_al_u2306_o ;
wire \u_logic/_al_u2307_o ;
wire \u_logic/_al_u2308_o ;
wire \u_logic/_al_u2309_o ;
wire \u_logic/_al_u2311_o ;
wire \u_logic/_al_u2312_o ;
wire \u_logic/_al_u2313_o ;
wire \u_logic/_al_u2314_o ;
wire \u_logic/_al_u2315_o ;
wire \u_logic/_al_u2316_o ;
wire \u_logic/_al_u2317_o ;
wire \u_logic/_al_u2319_o ;
wire \u_logic/_al_u231_o ;
wire \u_logic/_al_u2320_o ;
wire \u_logic/_al_u2321_o ;
wire \u_logic/_al_u2322_o ;
wire \u_logic/_al_u2323_o ;
wire \u_logic/_al_u2324_o ;
wire \u_logic/_al_u2326_o ;
wire \u_logic/_al_u2327_o ;
wire \u_logic/_al_u2328_o ;
wire \u_logic/_al_u2329_o ;
wire \u_logic/_al_u232_o ;
wire \u_logic/_al_u2330_o ;
wire \u_logic/_al_u2331_o ;
wire \u_logic/_al_u2332_o ;
wire \u_logic/_al_u2333_o ;
wire \u_logic/_al_u2334_o ;
wire \u_logic/_al_u2335_o ;
wire \u_logic/_al_u2336_o ;
wire \u_logic/_al_u2338_o ;
wire \u_logic/_al_u2339_o ;
wire \u_logic/_al_u233_o ;
wire \u_logic/_al_u2340_o ;
wire \u_logic/_al_u2341_o ;
wire \u_logic/_al_u2342_o ;
wire \u_logic/_al_u2343_o ;
wire \u_logic/_al_u2344_o ;
wire \u_logic/_al_u2345_o ;
wire \u_logic/_al_u2346_o ;
wire \u_logic/_al_u234_o ;
wire \u_logic/_al_u2351_o ;
wire \u_logic/_al_u2352_o ;
wire \u_logic/_al_u2354_o ;
wire \u_logic/_al_u2355_o ;
wire \u_logic/_al_u2356_o ;
wire \u_logic/_al_u2358_o ;
wire \u_logic/_al_u2359_o ;
wire \u_logic/_al_u2360_o ;
wire \u_logic/_al_u2361_o ;
wire \u_logic/_al_u2362_o ;
wire \u_logic/_al_u2363_o ;
wire \u_logic/_al_u2365_o ;
wire \u_logic/_al_u2366_o ;
wire \u_logic/_al_u2367_o ;
wire \u_logic/_al_u2368_o ;
wire \u_logic/_al_u2369_o ;
wire \u_logic/_al_u2370_o ;
wire \u_logic/_al_u2371_o ;
wire \u_logic/_al_u2372_o ;
wire \u_logic/_al_u2373_o ;
wire \u_logic/_al_u2374_o ;
wire \u_logic/_al_u2375_o ;
wire \u_logic/_al_u2376_o ;
wire \u_logic/_al_u2377_o ;
wire \u_logic/_al_u2378_o ;
wire \u_logic/_al_u2379_o ;
wire \u_logic/_al_u237_o ;
wire \u_logic/_al_u2380_o ;
wire \u_logic/_al_u2381_o ;
wire \u_logic/_al_u2382_o ;
wire \u_logic/_al_u2383_o ;
wire \u_logic/_al_u2384_o ;
wire \u_logic/_al_u2385_o ;
wire \u_logic/_al_u2386_o ;
wire \u_logic/_al_u2387_o ;
wire \u_logic/_al_u2388_o ;
wire \u_logic/_al_u2389_o ;
wire \u_logic/_al_u238_o ;
wire \u_logic/_al_u2390_o ;
wire \u_logic/_al_u2391_o ;
wire \u_logic/_al_u2393_o ;
wire \u_logic/_al_u2394_o ;
wire \u_logic/_al_u2396_o ;
wire \u_logic/_al_u2398_o ;
wire \u_logic/_al_u239_o ;
wire \u_logic/_al_u2400_o ;
wire \u_logic/_al_u2402_o ;
wire \u_logic/_al_u2404_o ;
wire \u_logic/_al_u2406_o ;
wire \u_logic/_al_u2408_o ;
wire \u_logic/_al_u2409_o ;
wire \u_logic/_al_u240_o ;
wire \u_logic/_al_u2411_o ;
wire \u_logic/_al_u2413_o ;
wire \u_logic/_al_u2415_o ;
wire \u_logic/_al_u2417_o ;
wire \u_logic/_al_u2419_o ;
wire \u_logic/_al_u2421_o ;
wire \u_logic/_al_u2423_o ;
wire \u_logic/_al_u2424_o ;
wire \u_logic/_al_u2426_o ;
wire \u_logic/_al_u2428_o ;
wire \u_logic/_al_u2430_o ;
wire \u_logic/_al_u2431_o ;
wire \u_logic/_al_u2433_o ;
wire \u_logic/_al_u2434_o ;
wire \u_logic/_al_u2435_o ;
wire \u_logic/_al_u2436_o ;
wire \u_logic/_al_u2437_o ;
wire \u_logic/_al_u2439_o ;
wire \u_logic/_al_u243_o ;
wire \u_logic/_al_u2440_o ;
wire \u_logic/_al_u2441_o ;
wire \u_logic/_al_u2442_o ;
wire \u_logic/_al_u2443_o ;
wire \u_logic/_al_u2445_o ;
wire \u_logic/_al_u2446_o ;
wire \u_logic/_al_u2447_o ;
wire \u_logic/_al_u2448_o ;
wire \u_logic/_al_u2449_o ;
wire \u_logic/_al_u244_o ;
wire \u_logic/_al_u2450_o ;
wire \u_logic/_al_u2451_o ;
wire \u_logic/_al_u2452_o ;
wire \u_logic/_al_u2453_o ;
wire \u_logic/_al_u2454_o ;
wire \u_logic/_al_u2455_o ;
wire \u_logic/_al_u2456_o ;
wire \u_logic/_al_u2457_o ;
wire \u_logic/_al_u245_o ;
wire \u_logic/_al_u2460_o ;
wire \u_logic/_al_u2461_o ;
wire \u_logic/_al_u2462_o ;
wire \u_logic/_al_u2463_o ;
wire \u_logic/_al_u2464_o ;
wire \u_logic/_al_u2465_o ;
wire \u_logic/_al_u2466_o ;
wire \u_logic/_al_u2467_o ;
wire \u_logic/_al_u2468_o ;
wire \u_logic/_al_u2469_o ;
wire \u_logic/_al_u2470_o ;
wire \u_logic/_al_u2471_o ;
wire \u_logic/_al_u2473_o ;
wire \u_logic/_al_u2474_o ;
wire \u_logic/_al_u2476_o ;
wire \u_logic/_al_u2480_o ;
wire \u_logic/_al_u2481_o ;
wire \u_logic/_al_u2484_o ;
wire \u_logic/_al_u2485_o ;
wire \u_logic/_al_u2488_o ;
wire \u_logic/_al_u2489_o ;
wire \u_logic/_al_u2493_o ;
wire \u_logic/_al_u2496_o ;
wire \u_logic/_al_u2497_o ;
wire \u_logic/_al_u249_o ;
wire \u_logic/_al_u2500_o ;
wire \u_logic/_al_u2501_o ;
wire \u_logic/_al_u2505_o ;
wire \u_logic/_al_u2508_o ;
wire \u_logic/_al_u2509_o ;
wire \u_logic/_al_u2512_o ;
wire \u_logic/_al_u2513_o ;
wire \u_logic/_al_u2516_o ;
wire \u_logic/_al_u2517_o ;
wire \u_logic/_al_u251_o ;
wire \u_logic/_al_u2521_o ;
wire \u_logic/_al_u2524_o ;
wire \u_logic/_al_u2525_o ;
wire \u_logic/_al_u2528_o ;
wire \u_logic/_al_u2529_o ;
wire \u_logic/_al_u252_o ;
wire \u_logic/_al_u2532_o ;
wire \u_logic/_al_u2533_o ;
wire \u_logic/_al_u2536_o ;
wire \u_logic/_al_u2537_o ;
wire \u_logic/_al_u2540_o ;
wire \u_logic/_al_u2541_o ;
wire \u_logic/_al_u2544_o ;
wire \u_logic/_al_u2545_o ;
wire \u_logic/_al_u2548_o ;
wire \u_logic/_al_u2549_o ;
wire \u_logic/_al_u2552_o ;
wire \u_logic/_al_u2553_o ;
wire \u_logic/_al_u2554_o ;
wire \u_logic/_al_u2555_o ;
wire \u_logic/_al_u2556_o ;
wire \u_logic/_al_u2557_o ;
wire \u_logic/_al_u2558_o ;
wire \u_logic/_al_u2559_o ;
wire \u_logic/_al_u255_o ;
wire \u_logic/_al_u2560_o ;
wire \u_logic/_al_u2561_o ;
wire \u_logic/_al_u2563_o ;
wire \u_logic/_al_u2564_o ;
wire \u_logic/_al_u2565_o ;
wire \u_logic/_al_u2566_o ;
wire \u_logic/_al_u2567_o ;
wire \u_logic/_al_u2569_o ;
wire \u_logic/_al_u256_o ;
wire \u_logic/_al_u2571_o ;
wire \u_logic/_al_u2574_o ;
wire \u_logic/_al_u2575_o ;
wire \u_logic/_al_u2576_o ;
wire \u_logic/_al_u2579_o ;
wire \u_logic/_al_u257_o ;
wire \u_logic/_al_u2580_o ;
wire \u_logic/_al_u2582_o ;
wire \u_logic/_al_u2583_o ;
wire \u_logic/_al_u2584_o ;
wire \u_logic/_al_u2585_o ;
wire \u_logic/_al_u2586_o ;
wire \u_logic/_al_u2589_o ;
wire \u_logic/_al_u258_o ;
wire \u_logic/_al_u2590_o ;
wire \u_logic/_al_u2591_o ;
wire \u_logic/_al_u2593_o ;
wire \u_logic/_al_u2594_o ;
wire \u_logic/_al_u2596_o ;
wire \u_logic/_al_u2597_o ;
wire \u_logic/_al_u2599_o ;
wire \u_logic/_al_u2600_o ;
wire \u_logic/_al_u2602_o ;
wire \u_logic/_al_u2604_o ;
wire \u_logic/_al_u2606_o ;
wire \u_logic/_al_u2607_o ;
wire \u_logic/_al_u2608_o ;
wire \u_logic/_al_u2609_o ;
wire \u_logic/_al_u2611_o ;
wire \u_logic/_al_u2612_o ;
wire \u_logic/_al_u2613_o ;
wire \u_logic/_al_u2614_o ;
wire \u_logic/_al_u2615_o ;
wire \u_logic/_al_u2617_o ;
wire \u_logic/_al_u2618_o ;
wire \u_logic/_al_u2619_o ;
wire \u_logic/_al_u261_o ;
wire \u_logic/_al_u2620_o ;
wire \u_logic/_al_u2622_o ;
wire \u_logic/_al_u2623_o ;
wire \u_logic/_al_u2625_o ;
wire \u_logic/_al_u262_o ;
wire \u_logic/_al_u2630_o ;
wire \u_logic/_al_u2631_o ;
wire \u_logic/_al_u2632_o ;
wire \u_logic/_al_u2633_o ;
wire \u_logic/_al_u2634_o ;
wire \u_logic/_al_u2635_o ;
wire \u_logic/_al_u2637_o ;
wire \u_logic/_al_u2638_o ;
wire \u_logic/_al_u2639_o ;
wire \u_logic/_al_u263_o ;
wire \u_logic/_al_u2640_o ;
wire \u_logic/_al_u2641_o ;
wire \u_logic/_al_u2645_o ;
wire \u_logic/_al_u2647_o ;
wire \u_logic/_al_u264_o ;
wire \u_logic/_al_u2650_o ;
wire \u_logic/_al_u2651_o ;
wire \u_logic/_al_u2652_o ;
wire \u_logic/_al_u2654_o ;
wire \u_logic/_al_u2655_o ;
wire \u_logic/_al_u2657_o ;
wire \u_logic/_al_u2659_o ;
wire \u_logic/_al_u2661_o ;
wire \u_logic/_al_u2662_o ;
wire \u_logic/_al_u2663_o ;
wire \u_logic/_al_u2664_o ;
wire \u_logic/_al_u2665_o ;
wire \u_logic/_al_u2666_o ;
wire \u_logic/_al_u2667_o ;
wire \u_logic/_al_u2668_o ;
wire \u_logic/_al_u2669_o ;
wire \u_logic/_al_u2670_o ;
wire \u_logic/_al_u2671_o ;
wire \u_logic/_al_u2672_o ;
wire \u_logic/_al_u2673_o ;
wire \u_logic/_al_u2674_o ;
wire \u_logic/_al_u2675_o ;
wire \u_logic/_al_u2676_o ;
wire \u_logic/_al_u2677_o ;
wire \u_logic/_al_u2678_o ;
wire \u_logic/_al_u2679_o ;
wire \u_logic/_al_u2680_o ;
wire \u_logic/_al_u2681_o ;
wire \u_logic/_al_u2682_o ;
wire \u_logic/_al_u2683_o ;
wire \u_logic/_al_u2684_o ;
wire \u_logic/_al_u2685_o ;
wire \u_logic/_al_u2686_o ;
wire \u_logic/_al_u2687_o ;
wire \u_logic/_al_u2688_o ;
wire \u_logic/_al_u2689_o ;
wire \u_logic/_al_u2691_o ;
wire \u_logic/_al_u2692_o ;
wire \u_logic/_al_u2693_o ;
wire \u_logic/_al_u2694_o ;
wire \u_logic/_al_u2695_o ;
wire \u_logic/_al_u2696_o ;
wire \u_logic/_al_u2698_o ;
wire \u_logic/_al_u2699_o ;
wire \u_logic/_al_u269_o ;
wire \u_logic/_al_u2700_o ;
wire \u_logic/_al_u2701_o ;
wire \u_logic/_al_u2702_o ;
wire \u_logic/_al_u2703_o ;
wire \u_logic/_al_u2704_o ;
wire \u_logic/_al_u2705_o ;
wire \u_logic/_al_u2706_o ;
wire \u_logic/_al_u2707_o ;
wire \u_logic/_al_u2708_o ;
wire \u_logic/_al_u2709_o ;
wire \u_logic/_al_u270_o ;
wire \u_logic/_al_u2710_o ;
wire \u_logic/_al_u2712_o ;
wire \u_logic/_al_u2713_o ;
wire \u_logic/_al_u2718_o ;
wire \u_logic/_al_u2719_o ;
wire \u_logic/_al_u2720_o ;
wire \u_logic/_al_u2721_o ;
wire \u_logic/_al_u2722_o ;
wire \u_logic/_al_u2723_o ;
wire \u_logic/_al_u2724_o ;
wire \u_logic/_al_u2725_o ;
wire \u_logic/_al_u2726_o ;
wire \u_logic/_al_u2727_o ;
wire \u_logic/_al_u2728_o ;
wire \u_logic/_al_u2729_o ;
wire \u_logic/_al_u2730_o ;
wire \u_logic/_al_u2731_o ;
wire \u_logic/_al_u2732_o ;
wire \u_logic/_al_u2733_o ;
wire \u_logic/_al_u2734_o ;
wire \u_logic/_al_u2735_o ;
wire \u_logic/_al_u2736_o ;
wire \u_logic/_al_u2737_o ;
wire \u_logic/_al_u2738_o ;
wire \u_logic/_al_u2739_o ;
wire \u_logic/_al_u2740_o ;
wire \u_logic/_al_u2741_o ;
wire \u_logic/_al_u2742_o ;
wire \u_logic/_al_u2743_o ;
wire \u_logic/_al_u2744_o ;
wire \u_logic/_al_u2745_o ;
wire \u_logic/_al_u2746_o ;
wire \u_logic/_al_u2748_o ;
wire \u_logic/_al_u2749_o ;
wire \u_logic/_al_u274_o ;
wire \u_logic/_al_u2750_o ;
wire \u_logic/_al_u2751_o ;
wire \u_logic/_al_u2752_o ;
wire \u_logic/_al_u2753_o ;
wire \u_logic/_al_u2754_o ;
wire \u_logic/_al_u2756_o ;
wire \u_logic/_al_u2758_o ;
wire \u_logic/_al_u2759_o ;
wire \u_logic/_al_u2761_o ;
wire \u_logic/_al_u276_o ;
wire \u_logic/_al_u2772_o ;
wire \u_logic/_al_u2776_o ;
wire \u_logic/_al_u2802_o ;
wire \u_logic/_al_u2803_o ;
wire \u_logic/_al_u2804_o ;
wire \u_logic/_al_u2807_o ;
wire \u_logic/_al_u2809_o ;
wire \u_logic/_al_u280_o ;
wire \u_logic/_al_u2810_o ;
wire \u_logic/_al_u2811_o ;
wire \u_logic/_al_u2812_o ;
wire \u_logic/_al_u2813_o ;
wire \u_logic/_al_u2814_o ;
wire \u_logic/_al_u2815_o ;
wire \u_logic/_al_u2816_o ;
wire \u_logic/_al_u2817_o ;
wire \u_logic/_al_u2818_o ;
wire \u_logic/_al_u2819_o ;
wire \u_logic/_al_u281_o ;
wire \u_logic/_al_u2820_o ;
wire \u_logic/_al_u2821_o ;
wire \u_logic/_al_u2822_o ;
wire \u_logic/_al_u2823_o ;
wire \u_logic/_al_u2824_o ;
wire \u_logic/_al_u2825_o ;
wire \u_logic/_al_u2826_o ;
wire \u_logic/_al_u2827_o ;
wire \u_logic/_al_u2828_o ;
wire \u_logic/_al_u2829_o ;
wire \u_logic/_al_u282_o ;
wire \u_logic/_al_u2830_o ;
wire \u_logic/_al_u2831_o ;
wire \u_logic/_al_u2832_o ;
wire \u_logic/_al_u2833_o ;
wire \u_logic/_al_u2834_o ;
wire \u_logic/_al_u2835_o ;
wire \u_logic/_al_u2837_o ;
wire \u_logic/_al_u2838_o ;
wire \u_logic/_al_u2839_o ;
wire \u_logic/_al_u2840_o ;
wire \u_logic/_al_u2841_o ;
wire \u_logic/_al_u2843_o ;
wire \u_logic/_al_u2845_o ;
wire \u_logic/_al_u2846_o ;
wire \u_logic/_al_u2847_o ;
wire \u_logic/_al_u2850_o ;
wire \u_logic/_al_u2853_o ;
wire \u_logic/_al_u2854_o ;
wire \u_logic/_al_u2858_o ;
wire \u_logic/_al_u2859_o ;
wire \u_logic/_al_u285_o ;
wire \u_logic/_al_u2860_o ;
wire \u_logic/_al_u2861_o ;
wire \u_logic/_al_u2863_o ;
wire \u_logic/_al_u2866_o ;
wire \u_logic/_al_u2867_o ;
wire \u_logic/_al_u286_o ;
wire \u_logic/_al_u2871_o ;
wire \u_logic/_al_u2872_o ;
wire \u_logic/_al_u2874_o ;
wire \u_logic/_al_u2875_o ;
wire \u_logic/_al_u2877_o ;
wire \u_logic/_al_u2880_o ;
wire \u_logic/_al_u2883_o ;
wire \u_logic/_al_u2886_o ;
wire \u_logic/_al_u2887_o ;
wire \u_logic/_al_u2889_o ;
wire \u_logic/_al_u288_o ;
wire \u_logic/_al_u2890_o ;
wire \u_logic/_al_u2892_o ;
wire \u_logic/_al_u2893_o ;
wire \u_logic/_al_u2895_o ;
wire \u_logic/_al_u2898_o ;
wire \u_logic/_al_u2901_o ;
wire \u_logic/_al_u2904_o ;
wire \u_logic/_al_u2905_o ;
wire \u_logic/_al_u2906_o ;
wire \u_logic/_al_u2908_o ;
wire \u_logic/_al_u2911_o ;
wire \u_logic/_al_u2912_o ;
wire \u_logic/_al_u2914_o ;
wire \u_logic/_al_u2916_o ;
wire \u_logic/_al_u291_o ;
wire \u_logic/_al_u2920_o ;
wire \u_logic/_al_u2925_o ;
wire \u_logic/_al_u2927_o ;
wire \u_logic/_al_u2928_o ;
wire \u_logic/_al_u2929_o ;
wire \u_logic/_al_u292_o ;
wire \u_logic/_al_u2931_o ;
wire \u_logic/_al_u2932_o ;
wire \u_logic/_al_u2933_o ;
wire \u_logic/_al_u2934_o ;
wire \u_logic/_al_u2935_o ;
wire \u_logic/_al_u2936_o ;
wire \u_logic/_al_u2937_o ;
wire \u_logic/_al_u2938_o ;
wire \u_logic/_al_u2939_o ;
wire \u_logic/_al_u2940_o ;
wire \u_logic/_al_u2942_o ;
wire \u_logic/_al_u2943_o ;
wire \u_logic/_al_u2944_o ;
wire \u_logic/_al_u2945_o ;
wire \u_logic/_al_u2946_o ;
wire \u_logic/_al_u2947_o ;
wire \u_logic/_al_u2948_o ;
wire \u_logic/_al_u294_o ;
wire \u_logic/_al_u2951_o ;
wire \u_logic/_al_u2953_o ;
wire \u_logic/_al_u2955_o ;
wire \u_logic/_al_u2957_o ;
wire \u_logic/_al_u2959_o ;
wire \u_logic/_al_u2961_o ;
wire \u_logic/_al_u2965_o ;
wire \u_logic/_al_u2966_o ;
wire \u_logic/_al_u2968_o ;
wire \u_logic/_al_u2973_o ;
wire \u_logic/_al_u2974_o ;
wire \u_logic/_al_u2975_o ;
wire \u_logic/_al_u2976_o ;
wire \u_logic/_al_u2979_o ;
wire \u_logic/_al_u297_o ;
wire \u_logic/_al_u2981_o ;
wire \u_logic/_al_u2984_o ;
wire \u_logic/_al_u2985_o ;
wire \u_logic/_al_u2987_o ;
wire \u_logic/_al_u2988_o ;
wire \u_logic/_al_u2989_o ;
wire \u_logic/_al_u298_o ;
wire \u_logic/_al_u2990_o ;
wire \u_logic/_al_u2991_o ;
wire \u_logic/_al_u2994_o ;
wire \u_logic/_al_u2995_o ;
wire \u_logic/_al_u2996_o ;
wire \u_logic/_al_u2997_o ;
wire \u_logic/_al_u2999_o ;
wire \u_logic/_al_u3000_o ;
wire \u_logic/_al_u3003_o ;
wire \u_logic/_al_u3004_o ;
wire \u_logic/_al_u3005_o ;
wire \u_logic/_al_u3006_o ;
wire \u_logic/_al_u3007_o ;
wire \u_logic/_al_u3008_o ;
wire \u_logic/_al_u3009_o ;
wire \u_logic/_al_u3010_o ;
wire \u_logic/_al_u3011_o ;
wire \u_logic/_al_u3012_o ;
wire \u_logic/_al_u3013_o ;
wire \u_logic/_al_u3015_o ;
wire \u_logic/_al_u3017_o ;
wire \u_logic/_al_u3018_o ;
wire \u_logic/_al_u3019_o ;
wire \u_logic/_al_u3020_o ;
wire \u_logic/_al_u3022_o ;
wire \u_logic/_al_u3024_o ;
wire \u_logic/_al_u3025_o ;
wire \u_logic/_al_u3026_o ;
wire \u_logic/_al_u3027_o ;
wire \u_logic/_al_u3028_o ;
wire \u_logic/_al_u3030_o ;
wire \u_logic/_al_u3031_o ;
wire \u_logic/_al_u3032_o ;
wire \u_logic/_al_u3033_o ;
wire \u_logic/_al_u3034_o ;
wire \u_logic/_al_u3035_o ;
wire \u_logic/_al_u3036_o ;
wire \u_logic/_al_u3038_o ;
wire \u_logic/_al_u303_o ;
wire \u_logic/_al_u3040_o ;
wire \u_logic/_al_u3041_o ;
wire \u_logic/_al_u3042_o ;
wire \u_logic/_al_u3043_o ;
wire \u_logic/_al_u3045_o ;
wire \u_logic/_al_u3046_o ;
wire \u_logic/_al_u3048_o ;
wire \u_logic/_al_u3049_o ;
wire \u_logic/_al_u3050_o ;
wire \u_logic/_al_u3051_o ;
wire \u_logic/_al_u3052_o ;
wire \u_logic/_al_u3054_o ;
wire \u_logic/_al_u3055_o ;
wire \u_logic/_al_u3056_o ;
wire \u_logic/_al_u3057_o ;
wire \u_logic/_al_u3059_o ;
wire \u_logic/_al_u305_o ;
wire \u_logic/_al_u3061_o ;
wire \u_logic/_al_u3062_o ;
wire \u_logic/_al_u3063_o ;
wire \u_logic/_al_u3064_o ;
wire \u_logic/_al_u3065_o ;
wire \u_logic/_al_u3066_o ;
wire \u_logic/_al_u3068_o ;
wire \u_logic/_al_u306_o ;
wire \u_logic/_al_u3070_o ;
wire \u_logic/_al_u3071_o ;
wire \u_logic/_al_u3073_o ;
wire \u_logic/_al_u3074_o ;
wire \u_logic/_al_u3075_o ;
wire \u_logic/_al_u3076_o ;
wire \u_logic/_al_u3077_o ;
wire \u_logic/_al_u3078_o ;
wire \u_logic/_al_u3079_o ;
wire \u_logic/_al_u307_o ;
wire \u_logic/_al_u3080_o ;
wire \u_logic/_al_u3082_o ;
wire \u_logic/_al_u3083_o ;
wire \u_logic/_al_u3084_o ;
wire \u_logic/_al_u3085_o ;
wire \u_logic/_al_u3086_o ;
wire \u_logic/_al_u3087_o ;
wire \u_logic/_al_u3088_o ;
wire \u_logic/_al_u3089_o ;
wire \u_logic/_al_u3090_o ;
wire \u_logic/_al_u3091_o ;
wire \u_logic/_al_u3092_o ;
wire \u_logic/_al_u3093_o ;
wire \u_logic/_al_u3094_o ;
wire \u_logic/_al_u3095_o ;
wire \u_logic/_al_u3096_o ;
wire \u_logic/_al_u3097_o ;
wire \u_logic/_al_u3098_o ;
wire \u_logic/_al_u3099_o ;
wire \u_logic/_al_u309_o ;
wire \u_logic/_al_u3100_o ;
wire \u_logic/_al_u3101_o ;
wire \u_logic/_al_u3102_o ;
wire \u_logic/_al_u3103_o ;
wire \u_logic/_al_u3105_o ;
wire \u_logic/_al_u3106_o ;
wire \u_logic/_al_u3108_o ;
wire \u_logic/_al_u3109_o ;
wire \u_logic/_al_u310_o ;
wire \u_logic/_al_u3112_o ;
wire \u_logic/_al_u3113_o ;
wire \u_logic/_al_u3114_o ;
wire \u_logic/_al_u3115_o ;
wire \u_logic/_al_u3116_o ;
wire \u_logic/_al_u3117_o ;
wire \u_logic/_al_u3118_o ;
wire \u_logic/_al_u311_o ;
wire \u_logic/_al_u3121_o ;
wire \u_logic/_al_u3122_o ;
wire \u_logic/_al_u3123_o ;
wire \u_logic/_al_u3124_o ;
wire \u_logic/_al_u3127_o ;
wire \u_logic/_al_u3128_o ;
wire \u_logic/_al_u312_o ;
wire \u_logic/_al_u3130_o ;
wire \u_logic/_al_u3131_o ;
wire \u_logic/_al_u3134_o ;
wire \u_logic/_al_u3135_o ;
wire \u_logic/_al_u3136_o ;
wire \u_logic/_al_u3137_o ;
wire \u_logic/_al_u3138_o ;
wire \u_logic/_al_u3139_o ;
wire \u_logic/_al_u3140_o ;
wire \u_logic/_al_u3142_o ;
wire \u_logic/_al_u3145_o ;
wire \u_logic/_al_u3146_o ;
wire \u_logic/_al_u3147_o ;
wire \u_logic/_al_u3148_o ;
wire \u_logic/_al_u3149_o ;
wire \u_logic/_al_u3150_o ;
wire \u_logic/_al_u3151_o ;
wire \u_logic/_al_u3153_o ;
wire \u_logic/_al_u3154_o ;
wire \u_logic/_al_u3157_o ;
wire \u_logic/_al_u3158_o ;
wire \u_logic/_al_u3159_o ;
wire \u_logic/_al_u315_o ;
wire \u_logic/_al_u3160_o ;
wire \u_logic/_al_u3161_o ;
wire \u_logic/_al_u3163_o ;
wire \u_logic/_al_u3164_o ;
wire \u_logic/_al_u3165_o ;
wire \u_logic/_al_u3166_o ;
wire \u_logic/_al_u3167_o ;
wire \u_logic/_al_u3168_o ;
wire \u_logic/_al_u316_o ;
wire \u_logic/_al_u3171_o ;
wire \u_logic/_al_u3173_o ;
wire \u_logic/_al_u3174_o ;
wire \u_logic/_al_u3175_o ;
wire \u_logic/_al_u3176_o ;
wire \u_logic/_al_u3177_o ;
wire \u_logic/_al_u3178_o ;
wire \u_logic/_al_u3179_o ;
wire \u_logic/_al_u317_o ;
wire \u_logic/_al_u3180_o ;
wire \u_logic/_al_u3182_o ;
wire \u_logic/_al_u3184_o ;
wire \u_logic/_al_u3185_o ;
wire \u_logic/_al_u3186_o ;
wire \u_logic/_al_u3189_o ;
wire \u_logic/_al_u3190_o ;
wire \u_logic/_al_u3191_o ;
wire \u_logic/_al_u3193_o ;
wire \u_logic/_al_u3194_o ;
wire \u_logic/_al_u3195_o ;
wire \u_logic/_al_u3196_o ;
wire \u_logic/_al_u3198_o ;
wire \u_logic/_al_u3199_o ;
wire \u_logic/_al_u3200_o ;
wire \u_logic/_al_u3202_o ;
wire \u_logic/_al_u3204_o ;
wire \u_logic/_al_u3205_o ;
wire \u_logic/_al_u3206_o ;
wire \u_logic/_al_u3207_o ;
wire \u_logic/_al_u3208_o ;
wire \u_logic/_al_u3209_o ;
wire \u_logic/_al_u3210_o ;
wire \u_logic/_al_u3213_o ;
wire \u_logic/_al_u3215_o ;
wire \u_logic/_al_u3216_o ;
wire \u_logic/_al_u3217_o ;
wire \u_logic/_al_u3218_o ;
wire \u_logic/_al_u321_o ;
wire \u_logic/_al_u3220_o ;
wire \u_logic/_al_u3221_o ;
wire \u_logic/_al_u3222_o ;
wire \u_logic/_al_u3224_o ;
wire \u_logic/_al_u3225_o ;
wire \u_logic/_al_u3226_o ;
wire \u_logic/_al_u3227_o ;
wire \u_logic/_al_u3228_o ;
wire \u_logic/_al_u3229_o ;
wire \u_logic/_al_u322_o ;
wire \u_logic/_al_u3232_o ;
wire \u_logic/_al_u3233_o ;
wire \u_logic/_al_u3234_o ;
wire \u_logic/_al_u3235_o ;
wire \u_logic/_al_u3237_o ;
wire \u_logic/_al_u3238_o ;
wire \u_logic/_al_u3239_o ;
wire \u_logic/_al_u323_o ;
wire \u_logic/_al_u3240_o ;
wire \u_logic/_al_u3241_o ;
wire \u_logic/_al_u3243_o ;
wire \u_logic/_al_u3244_o ;
wire \u_logic/_al_u3245_o ;
wire \u_logic/_al_u3246_o ;
wire \u_logic/_al_u3247_o ;
wire \u_logic/_al_u3248_o ;
wire \u_logic/_al_u3249_o ;
wire \u_logic/_al_u324_o ;
wire \u_logic/_al_u3250_o ;
wire \u_logic/_al_u3251_o ;
wire \u_logic/_al_u3252_o ;
wire \u_logic/_al_u3253_o ;
wire \u_logic/_al_u3254_o ;
wire \u_logic/_al_u3255_o ;
wire \u_logic/_al_u3256_o ;
wire \u_logic/_al_u3257_o ;
wire \u_logic/_al_u3258_o ;
wire \u_logic/_al_u3260_o ;
wire \u_logic/_al_u3261_o ;
wire \u_logic/_al_u3262_o ;
wire \u_logic/_al_u3264_o ;
wire \u_logic/_al_u3266_o ;
wire \u_logic/_al_u3267_o ;
wire \u_logic/_al_u3268_o ;
wire \u_logic/_al_u3269_o ;
wire \u_logic/_al_u3270_o ;
wire \u_logic/_al_u3271_o ;
wire \u_logic/_al_u3272_o ;
wire \u_logic/_al_u3273_o ;
wire \u_logic/_al_u3274_o ;
wire \u_logic/_al_u3276_o ;
wire \u_logic/_al_u3278_o ;
wire \u_logic/_al_u3279_o ;
wire \u_logic/_al_u327_o ;
wire \u_logic/_al_u3281_o ;
wire \u_logic/_al_u3282_o ;
wire \u_logic/_al_u3283_o ;
wire \u_logic/_al_u3284_o ;
wire \u_logic/_al_u3286_o ;
wire \u_logic/_al_u3288_o ;
wire \u_logic/_al_u328_o ;
wire \u_logic/_al_u3290_o ;
wire \u_logic/_al_u3291_o ;
wire \u_logic/_al_u3292_o ;
wire \u_logic/_al_u3293_o ;
wire \u_logic/_al_u3294_o ;
wire \u_logic/_al_u3295_o ;
wire \u_logic/_al_u3296_o ;
wire \u_logic/_al_u3299_o ;
wire \u_logic/_al_u329_o ;
wire \u_logic/_al_u3303_o ;
wire \u_logic/_al_u3304_o ;
wire \u_logic/_al_u3307_o ;
wire \u_logic/_al_u3308_o ;
wire \u_logic/_al_u3309_o ;
wire \u_logic/_al_u330_o ;
wire \u_logic/_al_u3312_o ;
wire \u_logic/_al_u3314_o ;
wire \u_logic/_al_u3317_o ;
wire \u_logic/_al_u3319_o ;
wire \u_logic/_al_u3320_o ;
wire \u_logic/_al_u3321_o ;
wire \u_logic/_al_u3322_o ;
wire \u_logic/_al_u3324_o ;
wire \u_logic/_al_u3327_o ;
wire \u_logic/_al_u3330_o ;
wire \u_logic/_al_u3332_o ;
wire \u_logic/_al_u3336_o ;
wire \u_logic/_al_u3338_o ;
wire \u_logic/_al_u3339_o ;
wire \u_logic/_al_u333_o ;
wire \u_logic/_al_u3342_o ;
wire \u_logic/_al_u3343_o ;
wire \u_logic/_al_u3345_o ;
wire \u_logic/_al_u3346_o ;
wire \u_logic/_al_u3347_o ;
wire \u_logic/_al_u3350_o ;
wire \u_logic/_al_u3352_o ;
wire \u_logic/_al_u3355_o ;
wire \u_logic/_al_u3358_o ;
wire \u_logic/_al_u335_o ;
wire \u_logic/_al_u3360_o ;
wire \u_logic/_al_u3362_o ;
wire \u_logic/_al_u3364_o ;
wire \u_logic/_al_u3366_o ;
wire \u_logic/_al_u3368_o ;
wire \u_logic/_al_u3369_o ;
wire \u_logic/_al_u336_o ;
wire \u_logic/_al_u3370_o ;
wire \u_logic/_al_u3371_o ;
wire \u_logic/_al_u3373_o ;
wire \u_logic/_al_u3375_o ;
wire \u_logic/_al_u3378_o ;
wire \u_logic/_al_u3380_o ;
wire \u_logic/_al_u3382_o ;
wire \u_logic/_al_u3383_o ;
wire \u_logic/_al_u3386_o ;
wire \u_logic/_al_u3387_o ;
wire \u_logic/_al_u3388_o ;
wire \u_logic/_al_u3389_o ;
wire \u_logic/_al_u3391_o ;
wire \u_logic/_al_u3395_o ;
wire \u_logic/_al_u3396_o ;
wire \u_logic/_al_u3397_o ;
wire \u_logic/_al_u339_o ;
wire \u_logic/_al_u3400_o ;
wire \u_logic/_al_u3401_o ;
wire \u_logic/_al_u3402_o ;
wire \u_logic/_al_u3403_o ;
wire \u_logic/_al_u3405_o ;
wire \u_logic/_al_u3408_o ;
wire \u_logic/_al_u3409_o ;
wire \u_logic/_al_u340_o ;
wire \u_logic/_al_u3410_o ;
wire \u_logic/_al_u3412_o ;
wire \u_logic/_al_u3413_o ;
wire \u_logic/_al_u3414_o ;
wire \u_logic/_al_u3419_o ;
wire \u_logic/_al_u341_o ;
wire \u_logic/_al_u3423_o ;
wire \u_logic/_al_u3424_o ;
wire \u_logic/_al_u3427_o ;
wire \u_logic/_al_u342_o ;
wire \u_logic/_al_u3430_o ;
wire \u_logic/_al_u3431_o ;
wire \u_logic/_al_u3432_o ;
wire \u_logic/_al_u3433_o ;
wire \u_logic/_al_u3436_o ;
wire \u_logic/_al_u3437_o ;
wire \u_logic/_al_u3439_o ;
wire \u_logic/_al_u3440_o ;
wire \u_logic/_al_u3441_o ;
wire \u_logic/_al_u3442_o ;
wire \u_logic/_al_u3443_o ;
wire \u_logic/_al_u3444_o ;
wire \u_logic/_al_u3447_o ;
wire \u_logic/_al_u3449_o ;
wire \u_logic/_al_u3450_o ;
wire \u_logic/_al_u3451_o ;
wire \u_logic/_al_u3452_o ;
wire \u_logic/_al_u3453_o ;
wire \u_logic/_al_u3454_o ;
wire \u_logic/_al_u3458_o ;
wire \u_logic/_al_u3459_o ;
wire \u_logic/_al_u345_o ;
wire \u_logic/_al_u3460_o ;
wire \u_logic/_al_u3462_o ;
wire \u_logic/_al_u3465_o ;
wire \u_logic/_al_u3467_o ;
wire \u_logic/_al_u346_o ;
wire \u_logic/_al_u3479_o ;
wire \u_logic/_al_u347_o ;
wire \u_logic/_al_u3481_o ;
wire \u_logic/_al_u3483_o ;
wire \u_logic/_al_u3484_o ;
wire \u_logic/_al_u3485_o ;
wire \u_logic/_al_u3487_o ;
wire \u_logic/_al_u3488_o ;
wire \u_logic/_al_u3489_o ;
wire \u_logic/_al_u348_o ;
wire \u_logic/_al_u3490_o ;
wire \u_logic/_al_u3491_o ;
wire \u_logic/_al_u3492_o ;
wire \u_logic/_al_u3493_o ;
wire \u_logic/_al_u3494_o ;
wire \u_logic/_al_u3495_o ;
wire \u_logic/_al_u3496_o ;
wire \u_logic/_al_u3497_o ;
wire \u_logic/_al_u3498_o ;
wire \u_logic/_al_u3499_o ;
wire \u_logic/_al_u3502_o ;
wire \u_logic/_al_u3503_o ;
wire \u_logic/_al_u3505_o ;
wire \u_logic/_al_u3507_o ;
wire \u_logic/_al_u3508_o ;
wire \u_logic/_al_u3509_o ;
wire \u_logic/_al_u3512_o ;
wire \u_logic/_al_u3514_o ;
wire \u_logic/_al_u3515_o ;
wire \u_logic/_al_u3516_o ;
wire \u_logic/_al_u3519_o ;
wire \u_logic/_al_u351_o ;
wire \u_logic/_al_u3520_o ;
wire \u_logic/_al_u3521_o ;
wire \u_logic/_al_u3522_o ;
wire \u_logic/_al_u3523_o ;
wire \u_logic/_al_u3524_o ;
wire \u_logic/_al_u3525_o ;
wire \u_logic/_al_u3526_o ;
wire \u_logic/_al_u3527_o ;
wire \u_logic/_al_u3529_o ;
wire \u_logic/_al_u352_o ;
wire \u_logic/_al_u3530_o ;
wire \u_logic/_al_u3532_o ;
wire \u_logic/_al_u3533_o ;
wire \u_logic/_al_u3534_o ;
wire \u_logic/_al_u3535_o ;
wire \u_logic/_al_u3536_o ;
wire \u_logic/_al_u3537_o ;
wire \u_logic/_al_u3538_o ;
wire \u_logic/_al_u3539_o ;
wire \u_logic/_al_u353_o ;
wire \u_logic/_al_u3540_o ;
wire \u_logic/_al_u3541_o ;
wire \u_logic/_al_u3542_o ;
wire \u_logic/_al_u3543_o ;
wire \u_logic/_al_u3544_o ;
wire \u_logic/_al_u3545_o ;
wire \u_logic/_al_u3546_o ;
wire \u_logic/_al_u3547_o ;
wire \u_logic/_al_u3548_o ;
wire \u_logic/_al_u3549_o ;
wire \u_logic/_al_u3550_o ;
wire \u_logic/_al_u3551_o ;
wire \u_logic/_al_u3552_o ;
wire \u_logic/_al_u3553_o ;
wire \u_logic/_al_u3554_o ;
wire \u_logic/_al_u3555_o ;
wire \u_logic/_al_u3556_o ;
wire \u_logic/_al_u3557_o ;
wire \u_logic/_al_u3558_o ;
wire \u_logic/_al_u3559_o ;
wire \u_logic/_al_u3560_o ;
wire \u_logic/_al_u3561_o ;
wire \u_logic/_al_u3562_o ;
wire \u_logic/_al_u3563_o ;
wire \u_logic/_al_u3564_o ;
wire \u_logic/_al_u3565_o ;
wire \u_logic/_al_u3566_o ;
wire \u_logic/_al_u3567_o ;
wire \u_logic/_al_u3568_o ;
wire \u_logic/_al_u3569_o ;
wire \u_logic/_al_u3570_o ;
wire \u_logic/_al_u3571_o ;
wire \u_logic/_al_u3572_o ;
wire \u_logic/_al_u3573_o ;
wire \u_logic/_al_u3574_o ;
wire \u_logic/_al_u3575_o ;
wire \u_logic/_al_u3576_o ;
wire \u_logic/_al_u3577_o ;
wire \u_logic/_al_u3578_o ;
wire \u_logic/_al_u3579_o ;
wire \u_logic/_al_u357_o ;
wire \u_logic/_al_u3580_o ;
wire \u_logic/_al_u3581_o ;
wire \u_logic/_al_u3582_o ;
wire \u_logic/_al_u3583_o ;
wire \u_logic/_al_u3584_o ;
wire \u_logic/_al_u3585_o ;
wire \u_logic/_al_u3586_o ;
wire \u_logic/_al_u3587_o ;
wire \u_logic/_al_u3589_o ;
wire \u_logic/_al_u358_o ;
wire \u_logic/_al_u3590_o ;
wire \u_logic/_al_u3591_o ;
wire \u_logic/_al_u3592_o ;
wire \u_logic/_al_u3593_o ;
wire \u_logic/_al_u3594_o ;
wire \u_logic/_al_u3595_o ;
wire \u_logic/_al_u3596_o ;
wire \u_logic/_al_u3597_o ;
wire \u_logic/_al_u3598_o ;
wire \u_logic/_al_u3599_o ;
wire \u_logic/_al_u359_o ;
wire \u_logic/_al_u3600_o ;
wire \u_logic/_al_u3601_o ;
wire \u_logic/_al_u3602_o ;
wire \u_logic/_al_u3603_o ;
wire \u_logic/_al_u3604_o ;
wire \u_logic/_al_u3605_o ;
wire \u_logic/_al_u3606_o ;
wire \u_logic/_al_u3607_o ;
wire \u_logic/_al_u3608_o ;
wire \u_logic/_al_u3609_o ;
wire \u_logic/_al_u360_o ;
wire \u_logic/_al_u3610_o ;
wire \u_logic/_al_u3611_o ;
wire \u_logic/_al_u3612_o ;
wire \u_logic/_al_u3613_o ;
wire \u_logic/_al_u3614_o ;
wire \u_logic/_al_u3615_o ;
wire \u_logic/_al_u3616_o ;
wire \u_logic/_al_u3617_o ;
wire \u_logic/_al_u3618_o ;
wire \u_logic/_al_u3619_o ;
wire \u_logic/_al_u3620_o ;
wire \u_logic/_al_u3621_o ;
wire \u_logic/_al_u3622_o ;
wire \u_logic/_al_u3623_o ;
wire \u_logic/_al_u3624_o ;
wire \u_logic/_al_u3625_o ;
wire \u_logic/_al_u3626_o ;
wire \u_logic/_al_u3627_o ;
wire \u_logic/_al_u3628_o ;
wire \u_logic/_al_u3629_o ;
wire \u_logic/_al_u3630_o ;
wire \u_logic/_al_u3631_o ;
wire \u_logic/_al_u3632_o ;
wire \u_logic/_al_u3633_o ;
wire \u_logic/_al_u3634_o ;
wire \u_logic/_al_u3635_o ;
wire \u_logic/_al_u3636_o ;
wire \u_logic/_al_u3637_o ;
wire \u_logic/_al_u3638_o ;
wire \u_logic/_al_u3639_o ;
wire \u_logic/_al_u363_o ;
wire \u_logic/_al_u3640_o ;
wire \u_logic/_al_u3641_o ;
wire \u_logic/_al_u3642_o ;
wire \u_logic/_al_u3643_o ;
wire \u_logic/_al_u3644_o ;
wire \u_logic/_al_u3647_o ;
wire \u_logic/_al_u3648_o ;
wire \u_logic/_al_u3649_o ;
wire \u_logic/_al_u364_o ;
wire \u_logic/_al_u3651_o ;
wire \u_logic/_al_u3653_o ;
wire \u_logic/_al_u3654_o ;
wire \u_logic/_al_u3655_o ;
wire \u_logic/_al_u3656_o ;
wire \u_logic/_al_u365_o ;
wire \u_logic/_al_u3661_o ;
wire \u_logic/_al_u3662_o ;
wire \u_logic/_al_u3663_o ;
wire \u_logic/_al_u3664_o ;
wire \u_logic/_al_u3665_o ;
wire \u_logic/_al_u3666_o ;
wire \u_logic/_al_u3668_o ;
wire \u_logic/_al_u366_o ;
wire \u_logic/_al_u3670_o ;
wire \u_logic/_al_u3671_o ;
wire \u_logic/_al_u3672_o ;
wire \u_logic/_al_u3673_o ;
wire \u_logic/_al_u3675_o ;
wire \u_logic/_al_u3676_o ;
wire \u_logic/_al_u3677_o ;
wire \u_logic/_al_u3678_o ;
wire \u_logic/_al_u3679_o ;
wire \u_logic/_al_u3680_o ;
wire \u_logic/_al_u3681_o ;
wire \u_logic/_al_u3682_o ;
wire \u_logic/_al_u3683_o ;
wire \u_logic/_al_u3684_o ;
wire \u_logic/_al_u3685_o ;
wire \u_logic/_al_u3686_o ;
wire \u_logic/_al_u3687_o ;
wire \u_logic/_al_u3688_o ;
wire \u_logic/_al_u3690_o ;
wire \u_logic/_al_u3691_o ;
wire \u_logic/_al_u3692_o ;
wire \u_logic/_al_u3693_o ;
wire \u_logic/_al_u3694_o ;
wire \u_logic/_al_u3695_o ;
wire \u_logic/_al_u3696_o ;
wire \u_logic/_al_u3699_o ;
wire \u_logic/_al_u369_o ;
wire \u_logic/_al_u3700_o ;
wire \u_logic/_al_u3702_o ;
wire \u_logic/_al_u3704_o ;
wire \u_logic/_al_u3705_o ;
wire \u_logic/_al_u3706_o ;
wire \u_logic/_al_u3707_o ;
wire \u_logic/_al_u3708_o ;
wire \u_logic/_al_u3709_o ;
wire \u_logic/_al_u370_o ;
wire \u_logic/_al_u3710_o ;
wire \u_logic/_al_u3711_o ;
wire \u_logic/_al_u3712_o ;
wire \u_logic/_al_u3713_o ;
wire \u_logic/_al_u371_o ;
wire \u_logic/_al_u3720_o ;
wire \u_logic/_al_u3721_o ;
wire \u_logic/_al_u3723_o ;
wire \u_logic/_al_u3724_o ;
wire \u_logic/_al_u3725_o ;
wire \u_logic/_al_u3726_o ;
wire \u_logic/_al_u3729_o ;
wire \u_logic/_al_u372_o ;
wire \u_logic/_al_u3730_o ;
wire \u_logic/_al_u3731_o ;
wire \u_logic/_al_u3732_o ;
wire \u_logic/_al_u3734_o ;
wire \u_logic/_al_u3735_o ;
wire \u_logic/_al_u3736_o ;
wire \u_logic/_al_u3738_o ;
wire \u_logic/_al_u3739_o ;
wire \u_logic/_al_u3741_o ;
wire \u_logic/_al_u3743_o ;
wire \u_logic/_al_u3744_o ;
wire \u_logic/_al_u3746_o ;
wire \u_logic/_al_u3747_o ;
wire \u_logic/_al_u3748_o ;
wire \u_logic/_al_u3749_o ;
wire \u_logic/_al_u3751_o ;
wire \u_logic/_al_u3752_o ;
wire \u_logic/_al_u3753_o ;
wire \u_logic/_al_u3755_o ;
wire \u_logic/_al_u3756_o ;
wire \u_logic/_al_u3757_o ;
wire \u_logic/_al_u3759_o ;
wire \u_logic/_al_u375_o ;
wire \u_logic/_al_u3760_o ;
wire \u_logic/_al_u3762_o ;
wire \u_logic/_al_u3764_o ;
wire \u_logic/_al_u3765_o ;
wire \u_logic/_al_u376_o ;
wire \u_logic/_al_u3771_o ;
wire \u_logic/_al_u3773_o ;
wire \u_logic/_al_u3774_o ;
wire \u_logic/_al_u3775_o ;
wire \u_logic/_al_u3776_o ;
wire \u_logic/_al_u3779_o ;
wire \u_logic/_al_u377_o ;
wire \u_logic/_al_u3780_o ;
wire \u_logic/_al_u3784_o ;
wire \u_logic/_al_u3786_o ;
wire \u_logic/_al_u3788_o ;
wire \u_logic/_al_u3789_o ;
wire \u_logic/_al_u378_o ;
wire \u_logic/_al_u3790_o ;
wire \u_logic/_al_u3791_o ;
wire \u_logic/_al_u3792_o ;
wire \u_logic/_al_u3796_o ;
wire \u_logic/_al_u3800_o ;
wire \u_logic/_al_u3803_o ;
wire \u_logic/_al_u3804_o ;
wire \u_logic/_al_u3805_o ;
wire \u_logic/_al_u3807_o ;
wire \u_logic/_al_u3808_o ;
wire \u_logic/_al_u3810_o ;
wire \u_logic/_al_u3813_o ;
wire \u_logic/_al_u3814_o ;
wire \u_logic/_al_u3817_o ;
wire \u_logic/_al_u3818_o ;
wire \u_logic/_al_u3819_o ;
wire \u_logic/_al_u3821_o ;
wire \u_logic/_al_u3822_o ;
wire \u_logic/_al_u3823_o ;
wire \u_logic/_al_u3825_o ;
wire \u_logic/_al_u3826_o ;
wire \u_logic/_al_u3828_o ;
wire \u_logic/_al_u3829_o ;
wire \u_logic/_al_u382_o ;
wire \u_logic/_al_u3830_o ;
wire \u_logic/_al_u3831_o ;
wire \u_logic/_al_u3835_o ;
wire \u_logic/_al_u3836_o ;
wire \u_logic/_al_u3840_o ;
wire \u_logic/_al_u3843_o ;
wire \u_logic/_al_u3845_o ;
wire \u_logic/_al_u3846_o ;
wire \u_logic/_al_u3847_o ;
wire \u_logic/_al_u3848_o ;
wire \u_logic/_al_u384_o ;
wire \u_logic/_al_u3851_o ;
wire \u_logic/_al_u3854_o ;
wire \u_logic/_al_u3855_o ;
wire \u_logic/_al_u3856_o ;
wire \u_logic/_al_u3858_o ;
wire \u_logic/_al_u3859_o ;
wire \u_logic/_al_u3860_o ;
wire \u_logic/_al_u3862_o ;
wire \u_logic/_al_u3863_o ;
wire \u_logic/_al_u3864_o ;
wire \u_logic/_al_u3865_o ;
wire \u_logic/_al_u3866_o ;
wire \u_logic/_al_u3867_o ;
wire \u_logic/_al_u3868_o ;
wire \u_logic/_al_u3869_o ;
wire \u_logic/_al_u3870_o ;
wire \u_logic/_al_u3872_o ;
wire \u_logic/_al_u3873_o ;
wire \u_logic/_al_u3874_o ;
wire \u_logic/_al_u3875_o ;
wire \u_logic/_al_u3876_o ;
wire \u_logic/_al_u3877_o ;
wire \u_logic/_al_u3878_o ;
wire \u_logic/_al_u3879_o ;
wire \u_logic/_al_u3880_o ;
wire \u_logic/_al_u3881_o ;
wire \u_logic/_al_u3883_o ;
wire \u_logic/_al_u3884_o ;
wire \u_logic/_al_u3885_o ;
wire \u_logic/_al_u3886_o ;
wire \u_logic/_al_u3887_o ;
wire \u_logic/_al_u3888_o ;
wire \u_logic/_al_u3889_o ;
wire \u_logic/_al_u388_o ;
wire \u_logic/_al_u3890_o ;
wire \u_logic/_al_u3891_o ;
wire \u_logic/_al_u3892_o ;
wire \u_logic/_al_u3893_o ;
wire \u_logic/_al_u3895_o ;
wire \u_logic/_al_u3897_o ;
wire \u_logic/_al_u3899_o ;
wire \u_logic/_al_u389_o ;
wire \u_logic/_al_u3900_o ;
wire \u_logic/_al_u3901_o ;
wire \u_logic/_al_u3902_o ;
wire \u_logic/_al_u3904_o ;
wire \u_logic/_al_u3905_o ;
wire \u_logic/_al_u3907_o ;
wire \u_logic/_al_u3909_o ;
wire \u_logic/_al_u390_o ;
wire \u_logic/_al_u3910_o ;
wire \u_logic/_al_u3911_o ;
wire \u_logic/_al_u3913_o ;
wire \u_logic/_al_u3914_o ;
wire \u_logic/_al_u3915_o ;
wire \u_logic/_al_u3917_o ;
wire \u_logic/_al_u3919_o ;
wire \u_logic/_al_u391_o ;
wire \u_logic/_al_u3920_o ;
wire \u_logic/_al_u3921_o ;
wire \u_logic/_al_u3922_o ;
wire \u_logic/_al_u3923_o ;
wire \u_logic/_al_u3925_o ;
wire \u_logic/_al_u3926_o ;
wire \u_logic/_al_u3929_o ;
wire \u_logic/_al_u3930_o ;
wire \u_logic/_al_u3931_o ;
wire \u_logic/_al_u3934_o ;
wire \u_logic/_al_u3936_o ;
wire \u_logic/_al_u3938_o ;
wire \u_logic/_al_u393_o ;
wire \u_logic/_al_u3941_o ;
wire \u_logic/_al_u3942_o ;
wire \u_logic/_al_u3943_o ;
wire \u_logic/_al_u3944_o ;
wire \u_logic/_al_u3945_o ;
wire \u_logic/_al_u3946_o ;
wire \u_logic/_al_u3947_o ;
wire \u_logic/_al_u3948_o ;
wire \u_logic/_al_u3951_o ;
wire \u_logic/_al_u3952_o ;
wire \u_logic/_al_u3953_o ;
wire \u_logic/_al_u3954_o ;
wire \u_logic/_al_u3955_o ;
wire \u_logic/_al_u3956_o ;
wire \u_logic/_al_u3957_o ;
wire \u_logic/_al_u3958_o ;
wire \u_logic/_al_u3959_o ;
wire \u_logic/_al_u395_o ;
wire \u_logic/_al_u3960_o ;
wire \u_logic/_al_u3961_o ;
wire \u_logic/_al_u3962_o ;
wire \u_logic/_al_u3963_o ;
wire \u_logic/_al_u3964_o ;
wire \u_logic/_al_u3965_o ;
wire \u_logic/_al_u3967_o ;
wire \u_logic/_al_u396_o ;
wire \u_logic/_al_u3971_o ;
wire \u_logic/_al_u3972_o ;
wire \u_logic/_al_u3973_o ;
wire \u_logic/_al_u3974_o ;
wire \u_logic/_al_u3975_o ;
wire \u_logic/_al_u3976_o ;
wire \u_logic/_al_u3977_o ;
wire \u_logic/_al_u3978_o ;
wire \u_logic/_al_u3979_o ;
wire \u_logic/_al_u397_o ;
wire \u_logic/_al_u3980_o ;
wire \u_logic/_al_u3981_o ;
wire \u_logic/_al_u3982_o ;
wire \u_logic/_al_u3983_o ;
wire \u_logic/_al_u3984_o ;
wire \u_logic/_al_u3985_o ;
wire \u_logic/_al_u3986_o ;
wire \u_logic/_al_u3987_o ;
wire \u_logic/_al_u3988_o ;
wire \u_logic/_al_u3989_o ;
wire \u_logic/_al_u3990_o ;
wire \u_logic/_al_u3991_o ;
wire \u_logic/_al_u3994_o ;
wire \u_logic/_al_u3995_o ;
wire \u_logic/_al_u3996_o ;
wire \u_logic/_al_u3997_o ;
wire \u_logic/_al_u3998_o ;
wire \u_logic/_al_u3999_o ;
wire \u_logic/_al_u4000_o ;
wire \u_logic/_al_u4003_o ;
wire \u_logic/_al_u4004_o ;
wire \u_logic/_al_u4005_o ;
wire \u_logic/_al_u4006_o ;
wire \u_logic/_al_u4007_o ;
wire \u_logic/_al_u4008_o ;
wire \u_logic/_al_u4013_o ;
wire \u_logic/_al_u4014_o ;
wire \u_logic/_al_u4015_o ;
wire \u_logic/_al_u4017_o ;
wire \u_logic/_al_u4019_o ;
wire \u_logic/_al_u4021_o ;
wire \u_logic/_al_u4023_o ;
wire \u_logic/_al_u4025_o ;
wire \u_logic/_al_u4026_o ;
wire \u_logic/_al_u4027_o ;
wire \u_logic/_al_u4028_o ;
wire \u_logic/_al_u4029_o ;
wire \u_logic/_al_u402_o ;
wire \u_logic/_al_u4030_o ;
wire \u_logic/_al_u4031_o ;
wire \u_logic/_al_u4032_o ;
wire \u_logic/_al_u4033_o ;
wire \u_logic/_al_u4034_o ;
wire \u_logic/_al_u4035_o ;
wire \u_logic/_al_u4036_o ;
wire \u_logic/_al_u4038_o ;
wire \u_logic/_al_u4039_o ;
wire \u_logic/_al_u403_o ;
wire \u_logic/_al_u4040_o ;
wire \u_logic/_al_u4041_o ;
wire \u_logic/_al_u4042_o ;
wire \u_logic/_al_u4044_o ;
wire \u_logic/_al_u4045_o ;
wire \u_logic/_al_u4046_o ;
wire \u_logic/_al_u4048_o ;
wire \u_logic/_al_u4049_o ;
wire \u_logic/_al_u4050_o ;
wire \u_logic/_al_u4051_o ;
wire \u_logic/_al_u4053_o ;
wire \u_logic/_al_u4054_o ;
wire \u_logic/_al_u4055_o ;
wire \u_logic/_al_u4057_o ;
wire \u_logic/_al_u4058_o ;
wire \u_logic/_al_u4059_o ;
wire \u_logic/_al_u405_o ;
wire \u_logic/_al_u4060_o ;
wire \u_logic/_al_u4062_o ;
wire \u_logic/_al_u4063_o ;
wire \u_logic/_al_u4065_o ;
wire \u_logic/_al_u4066_o ;
wire \u_logic/_al_u4068_o ;
wire \u_logic/_al_u4069_o ;
wire \u_logic/_al_u406_o ;
wire \u_logic/_al_u4070_o ;
wire \u_logic/_al_u4071_o ;
wire \u_logic/_al_u4072_o ;
wire \u_logic/_al_u4073_o ;
wire \u_logic/_al_u4074_o ;
wire \u_logic/_al_u4075_o ;
wire \u_logic/_al_u4076_o ;
wire \u_logic/_al_u4077_o ;
wire \u_logic/_al_u4078_o ;
wire \u_logic/_al_u4079_o ;
wire \u_logic/_al_u407_o ;
wire \u_logic/_al_u4080_o ;
wire \u_logic/_al_u4082_o ;
wire \u_logic/_al_u4084_o ;
wire \u_logic/_al_u4086_o ;
wire \u_logic/_al_u4088_o ;
wire \u_logic/_al_u408_o ;
wire \u_logic/_al_u4090_o ;
wire \u_logic/_al_u4092_o ;
wire \u_logic/_al_u4094_o ;
wire \u_logic/_al_u4096_o ;
wire \u_logic/_al_u4097_o ;
wire \u_logic/_al_u4098_o ;
wire \u_logic/_al_u4099_o ;
wire \u_logic/_al_u409_o ;
wire \u_logic/_al_u4100_o ;
wire \u_logic/_al_u4101_o ;
wire \u_logic/_al_u4102_o ;
wire \u_logic/_al_u4104_o ;
wire \u_logic/_al_u4105_o ;
wire \u_logic/_al_u4106_o ;
wire \u_logic/_al_u4107_o ;
wire \u_logic/_al_u4108_o ;
wire \u_logic/_al_u410_o ;
wire \u_logic/_al_u4110_o ;
wire \u_logic/_al_u4111_o ;
wire \u_logic/_al_u4112_o ;
wire \u_logic/_al_u4116_o ;
wire \u_logic/_al_u4117_o ;
wire \u_logic/_al_u4118_o ;
wire \u_logic/_al_u4119_o ;
wire \u_logic/_al_u4120_o ;
wire \u_logic/_al_u4121_o ;
wire \u_logic/_al_u4123_o ;
wire \u_logic/_al_u4124_o ;
wire \u_logic/_al_u4125_o ;
wire \u_logic/_al_u4126_o ;
wire \u_logic/_al_u4127_o ;
wire \u_logic/_al_u4128_o ;
wire \u_logic/_al_u4129_o ;
wire \u_logic/_al_u4130_o ;
wire \u_logic/_al_u4131_o ;
wire \u_logic/_al_u4133_o ;
wire \u_logic/_al_u4134_o ;
wire \u_logic/_al_u4135_o ;
wire \u_logic/_al_u4136_o ;
wire \u_logic/_al_u4137_o ;
wire \u_logic/_al_u4138_o ;
wire \u_logic/_al_u4139_o ;
wire \u_logic/_al_u413_o ;
wire \u_logic/_al_u4140_o ;
wire \u_logic/_al_u4141_o ;
wire \u_logic/_al_u4142_o ;
wire \u_logic/_al_u4143_o ;
wire \u_logic/_al_u4144_o ;
wire \u_logic/_al_u4145_o ;
wire \u_logic/_al_u4147_o ;
wire \u_logic/_al_u4148_o ;
wire \u_logic/_al_u4149_o ;
wire \u_logic/_al_u414_o ;
wire \u_logic/_al_u4150_o ;
wire \u_logic/_al_u4152_o ;
wire \u_logic/_al_u4153_o ;
wire \u_logic/_al_u4154_o ;
wire \u_logic/_al_u4155_o ;
wire \u_logic/_al_u4156_o ;
wire \u_logic/_al_u4157_o ;
wire \u_logic/_al_u4158_o ;
wire \u_logic/_al_u4159_o ;
wire \u_logic/_al_u4161_o ;
wire \u_logic/_al_u4164_o ;
wire \u_logic/_al_u4165_o ;
wire \u_logic/_al_u4166_o ;
wire \u_logic/_al_u4167_o ;
wire \u_logic/_al_u4169_o ;
wire \u_logic/_al_u4170_o ;
wire \u_logic/_al_u4171_o ;
wire \u_logic/_al_u4173_o ;
wire \u_logic/_al_u4174_o ;
wire \u_logic/_al_u4176_o ;
wire \u_logic/_al_u4177_o ;
wire \u_logic/_al_u4178_o ;
wire \u_logic/_al_u4179_o ;
wire \u_logic/_al_u4180_o ;
wire \u_logic/_al_u4181_o ;
wire \u_logic/_al_u4183_o ;
wire \u_logic/_al_u4184_o ;
wire \u_logic/_al_u4185_o ;
wire \u_logic/_al_u4186_o ;
wire \u_logic/_al_u4187_o ;
wire \u_logic/_al_u4190_o ;
wire \u_logic/_al_u4191_o ;
wire \u_logic/_al_u4192_o ;
wire \u_logic/_al_u4198_o ;
wire \u_logic/_al_u4199_o ;
wire \u_logic/_al_u4200_o ;
wire \u_logic/_al_u4201_o ;
wire \u_logic/_al_u4202_o ;
wire \u_logic/_al_u4204_o ;
wire \u_logic/_al_u4205_o ;
wire \u_logic/_al_u4207_o ;
wire \u_logic/_al_u4208_o ;
wire \u_logic/_al_u4209_o ;
wire \u_logic/_al_u4212_o ;
wire \u_logic/_al_u4214_o ;
wire \u_logic/_al_u4216_o ;
wire \u_logic/_al_u4217_o ;
wire \u_logic/_al_u4219_o ;
wire \u_logic/_al_u4220_o ;
wire \u_logic/_al_u4221_o ;
wire \u_logic/_al_u4222_o ;
wire \u_logic/_al_u4223_o ;
wire \u_logic/_al_u4225_o ;
wire \u_logic/_al_u4226_o ;
wire \u_logic/_al_u4227_o ;
wire \u_logic/_al_u4228_o ;
wire \u_logic/_al_u4229_o ;
wire \u_logic/_al_u4230_o ;
wire \u_logic/_al_u4231_o ;
wire \u_logic/_al_u4232_o ;
wire \u_logic/_al_u4233_o ;
wire \u_logic/_al_u4235_o ;
wire \u_logic/_al_u4236_o ;
wire \u_logic/_al_u4237_o ;
wire \u_logic/_al_u4240_o ;
wire \u_logic/_al_u4241_o ;
wire \u_logic/_al_u4242_o ;
wire \u_logic/_al_u4243_o ;
wire \u_logic/_al_u4244_o ;
wire \u_logic/_al_u4245_o ;
wire \u_logic/_al_u4246_o ;
wire \u_logic/_al_u4247_o ;
wire \u_logic/_al_u4248_o ;
wire \u_logic/_al_u4250_o ;
wire \u_logic/_al_u4251_o ;
wire \u_logic/_al_u4252_o ;
wire \u_logic/_al_u4253_o ;
wire \u_logic/_al_u4255_o ;
wire \u_logic/_al_u4256_o ;
wire \u_logic/_al_u4259_o ;
wire \u_logic/_al_u4261_o ;
wire \u_logic/_al_u4262_o ;
wire \u_logic/_al_u4263_o ;
wire \u_logic/_al_u4264_o ;
wire \u_logic/_al_u4265_o ;
wire \u_logic/_al_u4266_o ;
wire \u_logic/_al_u4267_o ;
wire \u_logic/_al_u4268_o ;
wire \u_logic/_al_u4270_o ;
wire \u_logic/_al_u4272_o ;
wire \u_logic/_al_u4274_o ;
wire \u_logic/_al_u4275_o ;
wire \u_logic/_al_u4276_o ;
wire \u_logic/_al_u4277_o ;
wire \u_logic/_al_u4278_o ;
wire \u_logic/_al_u4279_o ;
wire \u_logic/_al_u4280_o ;
wire \u_logic/_al_u4281_o ;
wire \u_logic/_al_u4282_o ;
wire \u_logic/_al_u4283_o ;
wire \u_logic/_al_u4285_o ;
wire \u_logic/_al_u4286_o ;
wire \u_logic/_al_u4287_o ;
wire \u_logic/_al_u4288_o ;
wire \u_logic/_al_u4289_o ;
wire \u_logic/_al_u4290_o ;
wire \u_logic/_al_u4291_o ;
wire \u_logic/_al_u4292_o ;
wire \u_logic/_al_u4293_o ;
wire \u_logic/_al_u4294_o ;
wire \u_logic/_al_u4295_o ;
wire \u_logic/_al_u4296_o ;
wire \u_logic/_al_u4297_o ;
wire \u_logic/_al_u4298_o ;
wire \u_logic/_al_u4299_o ;
wire \u_logic/_al_u4300_o ;
wire \u_logic/_al_u4301_o ;
wire \u_logic/_al_u4302_o ;
wire \u_logic/_al_u4304_o ;
wire \u_logic/_al_u4307_o ;
wire \u_logic/_al_u4308_o ;
wire \u_logic/_al_u430_o ;
wire \u_logic/_al_u4310_o ;
wire \u_logic/_al_u4311_o ;
wire \u_logic/_al_u4313_o ;
wire \u_logic/_al_u4314_o ;
wire \u_logic/_al_u4315_o ;
wire \u_logic/_al_u4316_o ;
wire \u_logic/_al_u4317_o ;
wire \u_logic/_al_u4318_o ;
wire \u_logic/_al_u4319_o ;
wire \u_logic/_al_u431_o ;
wire \u_logic/_al_u4320_o ;
wire \u_logic/_al_u4321_o ;
wire \u_logic/_al_u4322_o ;
wire \u_logic/_al_u4323_o ;
wire \u_logic/_al_u4325_o ;
wire \u_logic/_al_u4326_o ;
wire \u_logic/_al_u4327_o ;
wire \u_logic/_al_u4328_o ;
wire \u_logic/_al_u4329_o ;
wire \u_logic/_al_u432_o ;
wire \u_logic/_al_u4331_o ;
wire \u_logic/_al_u4332_o ;
wire \u_logic/_al_u4333_o ;
wire \u_logic/_al_u4334_o ;
wire \u_logic/_al_u4335_o ;
wire \u_logic/_al_u4336_o ;
wire \u_logic/_al_u4338_o ;
wire \u_logic/_al_u433_o ;
wire \u_logic/_al_u4340_o ;
wire \u_logic/_al_u4341_o ;
wire \u_logic/_al_u4342_o ;
wire \u_logic/_al_u4343_o ;
wire \u_logic/_al_u4344_o ;
wire \u_logic/_al_u4345_o ;
wire \u_logic/_al_u4346_o ;
wire \u_logic/_al_u4347_o ;
wire \u_logic/_al_u4348_o ;
wire \u_logic/_al_u4350_o ;
wire \u_logic/_al_u4351_o ;
wire \u_logic/_al_u4352_o ;
wire \u_logic/_al_u4353_o ;
wire \u_logic/_al_u4354_o ;
wire \u_logic/_al_u4358_o ;
wire \u_logic/_al_u4364_o ;
wire \u_logic/_al_u4365_o ;
wire \u_logic/_al_u4368_o ;
wire \u_logic/_al_u4369_o ;
wire \u_logic/_al_u436_o ;
wire \u_logic/_al_u4371_o ;
wire \u_logic/_al_u4372_o ;
wire \u_logic/_al_u4373_o ;
wire \u_logic/_al_u4374_o ;
wire \u_logic/_al_u4375_o ;
wire \u_logic/_al_u4378_o ;
wire \u_logic/_al_u437_o ;
wire \u_logic/_al_u4381_o ;
wire \u_logic/_al_u4382_o ;
wire \u_logic/_al_u4383_o ;
wire \u_logic/_al_u4384_o ;
wire \u_logic/_al_u4385_o ;
wire \u_logic/_al_u4386_o ;
wire \u_logic/_al_u4387_o ;
wire \u_logic/_al_u4388_o ;
wire \u_logic/_al_u438_o ;
wire \u_logic/_al_u4390_o ;
wire \u_logic/_al_u4391_o ;
wire \u_logic/_al_u4394_o ;
wire \u_logic/_al_u4395_o ;
wire \u_logic/_al_u4397_o ;
wire \u_logic/_al_u4398_o ;
wire \u_logic/_al_u439_o ;
wire \u_logic/_al_u4400_o ;
wire \u_logic/_al_u4401_o ;
wire \u_logic/_al_u4403_o ;
wire \u_logic/_al_u4404_o ;
wire \u_logic/_al_u4407_o ;
wire \u_logic/_al_u4408_o ;
wire \u_logic/_al_u4410_o ;
wire \u_logic/_al_u4411_o ;
wire \u_logic/_al_u4412_o ;
wire \u_logic/_al_u4413_o ;
wire \u_logic/_al_u4414_o ;
wire \u_logic/_al_u4416_o ;
wire \u_logic/_al_u4418_o ;
wire \u_logic/_al_u4420_o ;
wire \u_logic/_al_u4422_o ;
wire \u_logic/_al_u4424_o ;
wire \u_logic/_al_u4426_o ;
wire \u_logic/_al_u4428_o ;
wire \u_logic/_al_u442_o ;
wire \u_logic/_al_u4430_o ;
wire \u_logic/_al_u4432_o ;
wire \u_logic/_al_u4433_o ;
wire \u_logic/_al_u4434_o ;
wire \u_logic/_al_u4435_o ;
wire \u_logic/_al_u4436_o ;
wire \u_logic/_al_u4437_o ;
wire \u_logic/_al_u4438_o ;
wire \u_logic/_al_u4439_o ;
wire \u_logic/_al_u443_o ;
wire \u_logic/_al_u4440_o ;
wire \u_logic/_al_u4442_o ;
wire \u_logic/_al_u4443_o ;
wire \u_logic/_al_u4444_o ;
wire \u_logic/_al_u4445_o ;
wire \u_logic/_al_u4446_o ;
wire \u_logic/_al_u4448_o ;
wire \u_logic/_al_u444_o ;
wire \u_logic/_al_u4450_o ;
wire \u_logic/_al_u4452_o ;
wire \u_logic/_al_u4453_o ;
wire \u_logic/_al_u4454_o ;
wire \u_logic/_al_u4455_o ;
wire \u_logic/_al_u4456_o ;
wire \u_logic/_al_u4458_o ;
wire \u_logic/_al_u4459_o ;
wire \u_logic/_al_u445_o ;
wire \u_logic/_al_u4460_o ;
wire \u_logic/_al_u4461_o ;
wire \u_logic/_al_u4462_o ;
wire \u_logic/_al_u4466_o ;
wire \u_logic/_al_u4468_o ;
wire \u_logic/_al_u4469_o ;
wire \u_logic/_al_u4470_o ;
wire \u_logic/_al_u4471_o ;
wire \u_logic/_al_u4473_o ;
wire \u_logic/_al_u4476_o ;
wire \u_logic/_al_u4478_o ;
wire \u_logic/_al_u4479_o ;
wire \u_logic/_al_u4481_o ;
wire \u_logic/_al_u4482_o ;
wire \u_logic/_al_u4483_o ;
wire \u_logic/_al_u4485_o ;
wire \u_logic/_al_u4486_o ;
wire \u_logic/_al_u4488_o ;
wire \u_logic/_al_u4489_o ;
wire \u_logic/_al_u448_o ;
wire \u_logic/_al_u4490_o ;
wire \u_logic/_al_u4492_o ;
wire \u_logic/_al_u4493_o ;
wire \u_logic/_al_u4494_o ;
wire \u_logic/_al_u4495_o ;
wire \u_logic/_al_u4496_o ;
wire \u_logic/_al_u4497_o ;
wire \u_logic/_al_u4498_o ;
wire \u_logic/_al_u449_o ;
wire \u_logic/_al_u4500_o ;
wire \u_logic/_al_u4502_o ;
wire \u_logic/_al_u4503_o ;
wire \u_logic/_al_u4505_o ;
wire \u_logic/_al_u4507_o ;
wire \u_logic/_al_u4508_o ;
wire \u_logic/_al_u450_o ;
wire \u_logic/_al_u4510_o ;
wire \u_logic/_al_u4512_o ;
wire \u_logic/_al_u4514_o ;
wire \u_logic/_al_u4515_o ;
wire \u_logic/_al_u4517_o ;
wire \u_logic/_al_u4519_o ;
wire \u_logic/_al_u451_o ;
wire \u_logic/_al_u4520_o ;
wire \u_logic/_al_u4522_o ;
wire \u_logic/_al_u4527_o ;
wire \u_logic/_al_u4528_o ;
wire \u_logic/_al_u4529_o ;
wire \u_logic/_al_u4531_o ;
wire \u_logic/_al_u4533_o ;
wire \u_logic/_al_u4534_o ;
wire \u_logic/_al_u4536_o ;
wire \u_logic/_al_u4537_o ;
wire \u_logic/_al_u4540_o ;
wire \u_logic/_al_u4541_o ;
wire \u_logic/_al_u4542_o ;
wire \u_logic/_al_u4543_o ;
wire \u_logic/_al_u4545_o ;
wire \u_logic/_al_u4547_o ;
wire \u_logic/_al_u454_o ;
wire \u_logic/_al_u4550_o ;
wire \u_logic/_al_u4551_o ;
wire \u_logic/_al_u4553_o ;
wire \u_logic/_al_u4554_o ;
wire \u_logic/_al_u4555_o ;
wire \u_logic/_al_u4557_o ;
wire \u_logic/_al_u4558_o ;
wire \u_logic/_al_u4559_o ;
wire \u_logic/_al_u455_o ;
wire \u_logic/_al_u4560_o ;
wire \u_logic/_al_u4561_o ;
wire \u_logic/_al_u4562_o ;
wire \u_logic/_al_u4563_o ;
wire \u_logic/_al_u4565_o ;
wire \u_logic/_al_u4566_o ;
wire \u_logic/_al_u4567_o ;
wire \u_logic/_al_u4570_o ;
wire \u_logic/_al_u4571_o ;
wire \u_logic/_al_u4573_o ;
wire \u_logic/_al_u4574_o ;
wire \u_logic/_al_u4576_o ;
wire \u_logic/_al_u4579_o ;
wire \u_logic/_al_u457_o ;
wire \u_logic/_al_u4580_o ;
wire \u_logic/_al_u4581_o ;
wire \u_logic/_al_u4582_o ;
wire \u_logic/_al_u4583_o ;
wire \u_logic/_al_u4584_o ;
wire \u_logic/_al_u4586_o ;
wire \u_logic/_al_u4587_o ;
wire \u_logic/_al_u4588_o ;
wire \u_logic/_al_u4589_o ;
wire \u_logic/_al_u4591_o ;
wire \u_logic/_al_u4592_o ;
wire \u_logic/_al_u4593_o ;
wire \u_logic/_al_u4596_o ;
wire \u_logic/_al_u4597_o ;
wire \u_logic/_al_u4599_o ;
wire \u_logic/_al_u4600_o ;
wire \u_logic/_al_u4601_o ;
wire \u_logic/_al_u4603_o ;
wire \u_logic/_al_u4604_o ;
wire \u_logic/_al_u4605_o ;
wire \u_logic/_al_u4607_o ;
wire \u_logic/_al_u4609_o ;
wire \u_logic/_al_u460_o ;
wire \u_logic/_al_u4611_o ;
wire \u_logic/_al_u4612_o ;
wire \u_logic/_al_u4613_o ;
wire \u_logic/_al_u4617_o ;
wire \u_logic/_al_u4618_o ;
wire \u_logic/_al_u4619_o ;
wire \u_logic/_al_u4620_o ;
wire \u_logic/_al_u4621_o ;
wire \u_logic/_al_u4622_o ;
wire \u_logic/_al_u4623_o ;
wire \u_logic/_al_u4625_o ;
wire \u_logic/_al_u4627_o ;
wire \u_logic/_al_u4629_o ;
wire \u_logic/_al_u4630_o ;
wire \u_logic/_al_u4631_o ;
wire \u_logic/_al_u4633_o ;
wire \u_logic/_al_u4634_o ;
wire \u_logic/_al_u4635_o ;
wire \u_logic/_al_u4637_o ;
wire \u_logic/_al_u4638_o ;
wire \u_logic/_al_u4639_o ;
wire \u_logic/_al_u463_o ;
wire \u_logic/_al_u4641_o ;
wire \u_logic/_al_u4642_o ;
wire \u_logic/_al_u4643_o ;
wire \u_logic/_al_u4644_o ;
wire \u_logic/_al_u4645_o ;
wire \u_logic/_al_u4647_o ;
wire \u_logic/_al_u4648_o ;
wire \u_logic/_al_u4649_o ;
wire \u_logic/_al_u4650_o ;
wire \u_logic/_al_u4651_o ;
wire \u_logic/_al_u4653_o ;
wire \u_logic/_al_u4654_o ;
wire \u_logic/_al_u4655_o ;
wire \u_logic/_al_u4656_o ;
wire \u_logic/_al_u4658_o ;
wire \u_logic/_al_u4659_o ;
wire \u_logic/_al_u4660_o ;
wire \u_logic/_al_u4662_o ;
wire \u_logic/_al_u4663_o ;
wire \u_logic/_al_u4665_o ;
wire \u_logic/_al_u4666_o ;
wire \u_logic/_al_u4668_o ;
wire \u_logic/_al_u4669_o ;
wire \u_logic/_al_u466_o ;
wire \u_logic/_al_u4671_o ;
wire \u_logic/_al_u4672_o ;
wire \u_logic/_al_u4674_o ;
wire \u_logic/_al_u4675_o ;
wire \u_logic/_al_u4677_o ;
wire \u_logic/_al_u4678_o ;
wire \u_logic/_al_u467_o ;
wire \u_logic/_al_u4680_o ;
wire \u_logic/_al_u4682_o ;
wire \u_logic/_al_u4684_o ;
wire \u_logic/_al_u4685_o ;
wire \u_logic/_al_u4688_o ;
wire \u_logic/_al_u4689_o ;
wire \u_logic/_al_u468_o ;
wire \u_logic/_al_u4691_o ;
wire \u_logic/_al_u4692_o ;
wire \u_logic/_al_u4693_o ;
wire \u_logic/_al_u4695_o ;
wire \u_logic/_al_u4696_o ;
wire \u_logic/_al_u4698_o ;
wire \u_logic/_al_u4699_o ;
wire \u_logic/_al_u469_o ;
wire \u_logic/_al_u4702_o ;
wire \u_logic/_al_u4703_o ;
wire \u_logic/_al_u4706_o ;
wire \u_logic/_al_u4707_o ;
wire \u_logic/_al_u4709_o ;
wire \u_logic/_al_u4710_o ;
wire \u_logic/_al_u4711_o ;
wire \u_logic/_al_u4713_o ;
wire \u_logic/_al_u4714_o ;
wire \u_logic/_al_u4716_o ;
wire \u_logic/_al_u4717_o ;
wire \u_logic/_al_u4718_o ;
wire \u_logic/_al_u4719_o ;
wire \u_logic/_al_u4721_o ;
wire \u_logic/_al_u4723_o ;
wire \u_logic/_al_u4724_o ;
wire \u_logic/_al_u4726_o ;
wire \u_logic/_al_u4728_o ;
wire \u_logic/_al_u472_o ;
wire \u_logic/_al_u4730_o ;
wire \u_logic/_al_u4732_o ;
wire \u_logic/_al_u4734_o ;
wire \u_logic/_al_u4737_o ;
wire \u_logic/_al_u473_o ;
wire \u_logic/_al_u4740_o ;
wire \u_logic/_al_u4742_o ;
wire \u_logic/_al_u4743_o ;
wire \u_logic/_al_u4746_o ;
wire \u_logic/_al_u4749_o ;
wire \u_logic/_al_u474_o ;
wire \u_logic/_al_u4751_o ;
wire \u_logic/_al_u4752_o ;
wire \u_logic/_al_u4754_o ;
wire \u_logic/_al_u4756_o ;
wire \u_logic/_al_u4758_o ;
wire \u_logic/_al_u4759_o ;
wire \u_logic/_al_u475_o ;
wire \u_logic/_al_u4762_o ;
wire \u_logic/_al_u4764_o ;
wire \u_logic/_al_u4765_o ;
wire \u_logic/_al_u4768_o ;
wire \u_logic/_al_u4771_o ;
wire \u_logic/_al_u4773_o ;
wire \u_logic/_al_u4774_o ;
wire \u_logic/_al_u4777_o ;
wire \u_logic/_al_u4779_o ;
wire \u_logic/_al_u4780_o ;
wire \u_logic/_al_u4782_o ;
wire \u_logic/_al_u4783_o ;
wire \u_logic/_al_u4785_o ;
wire \u_logic/_al_u4786_o ;
wire \u_logic/_al_u4788_o ;
wire \u_logic/_al_u4789_o ;
wire \u_logic/_al_u478_o ;
wire \u_logic/_al_u4792_o ;
wire \u_logic/_al_u4794_o ;
wire \u_logic/_al_u4795_o ;
wire \u_logic/_al_u4797_o ;
wire \u_logic/_al_u4798_o ;
wire \u_logic/_al_u479_o ;
wire \u_logic/_al_u4800_o ;
wire \u_logic/_al_u4803_o ;
wire \u_logic/_al_u4806_o ;
wire \u_logic/_al_u4808_o ;
wire \u_logic/_al_u480_o ;
wire \u_logic/_al_u4810_o ;
wire \u_logic/_al_u4811_o ;
wire \u_logic/_al_u4812_o ;
wire \u_logic/_al_u481_o ;
wire \u_logic/_al_u484_o ;
wire \u_logic/_al_u485_o ;
wire \u_logic/_al_u486_o ;
wire \u_logic/_al_u487_o ;
wire \u_logic/_al_u490_o ;
wire \u_logic/_al_u491_o ;
wire \u_logic/_al_u492_o ;
wire \u_logic/_al_u493_o ;
wire \u_logic/_al_u496_o ;
wire \u_logic/_al_u497_o ;
wire \u_logic/_al_u498_o ;
wire \u_logic/_al_u499_o ;
wire \u_logic/_al_u502_o ;
wire \u_logic/_al_u503_o ;
wire \u_logic/_al_u504_o ;
wire \u_logic/_al_u505_o ;
wire \u_logic/_al_u508_o ;
wire \u_logic/_al_u510_o ;
wire \u_logic/_al_u511_o ;
wire \u_logic/_al_u514_o ;
wire \u_logic/_al_u515_o ;
wire \u_logic/_al_u516_o ;
wire \u_logic/_al_u517_o ;
wire \u_logic/_al_u520_o ;
wire \u_logic/_al_u521_o ;
wire \u_logic/_al_u522_o ;
wire \u_logic/_al_u526_o ;
wire \u_logic/_al_u527_o ;
wire \u_logic/_al_u528_o ;
wire \u_logic/_al_u533_o ;
wire \u_logic/_al_u534_o ;
wire \u_logic/_al_u535_o ;
wire \u_logic/_al_u538_o ;
wire \u_logic/_al_u53_o ;
wire \u_logic/_al_u540_o ;
wire \u_logic/_al_u541_o ;
wire \u_logic/_al_u544_o ;
wire \u_logic/_al_u545_o ;
wire \u_logic/_al_u546_o ;
wire \u_logic/_al_u547_o ;
wire \u_logic/_al_u550_o ;
wire \u_logic/_al_u551_o ;
wire \u_logic/_al_u552_o ;
wire \u_logic/_al_u553_o ;
wire \u_logic/_al_u556_o ;
wire \u_logic/_al_u557_o ;
wire \u_logic/_al_u558_o ;
wire \u_logic/_al_u563_o ;
wire \u_logic/_al_u565_o ;
wire \u_logic/_al_u568_o ;
wire \u_logic/_al_u569_o ;
wire \u_logic/_al_u570_o ;
wire \u_logic/_al_u571_o ;
wire \u_logic/_al_u576_o ;
wire \u_logic/_al_u577_o ;
wire \u_logic/_al_u580_o ;
wire \u_logic/_al_u581_o ;
wire \u_logic/_al_u582_o ;
wire \u_logic/_al_u583_o ;
wire \u_logic/_al_u586_o ;
wire \u_logic/_al_u587_o ;
wire \u_logic/_al_u588_o ;
wire \u_logic/_al_u589_o ;
wire \u_logic/_al_u592_o ;
wire \u_logic/_al_u593_o ;
wire \u_logic/_al_u594_o ;
wire \u_logic/_al_u595_o ;
wire \u_logic/_al_u598_o ;
wire \u_logic/_al_u600_o ;
wire \u_logic/_al_u604_o ;
wire \u_logic/_al_u606_o ;
wire \u_logic/_al_u607_o ;
wire \u_logic/_al_u610_o ;
wire \u_logic/_al_u611_o ;
wire \u_logic/_al_u616_o ;
wire \u_logic/_al_u617_o ;
wire \u_logic/_al_u618_o ;
wire \u_logic/_al_u622_o ;
wire \u_logic/_al_u627_o ;
wire \u_logic/_al_u629_o ;
wire \u_logic/_al_u632_o ;
wire \u_logic/_al_u633_o ;
wire \u_logic/_al_u640_o ;
wire \u_logic/_al_u641_o ;
wire \u_logic/_al_u644_o ;
wire \u_logic/_al_u647_o ;
wire \u_logic/_al_u648_o ;
wire \u_logic/_al_u650_o ;
wire \u_logic/_al_u651_o ;
wire \u_logic/_al_u652_o ;
wire \u_logic/_al_u654_o ;
wire \u_logic/_al_u655_o ;
wire \u_logic/_al_u656_o ;
wire \u_logic/_al_u657_o ;
wire \u_logic/_al_u660_o ;
wire \u_logic/_al_u661_o ;
wire \u_logic/_al_u662_o ;
wire \u_logic/_al_u665_o ;
wire \u_logic/_al_u667_o ;
wire \u_logic/_al_u669_o ;
wire \u_logic/_al_u670_o ;
wire \u_logic/_al_u671_o ;
wire \u_logic/_al_u672_o ;
wire \u_logic/_al_u673_o ;
wire \u_logic/_al_u676_o ;
wire \u_logic/_al_u679_o ;
wire \u_logic/_al_u685_o ;
wire \u_logic/_al_u686_o ;
wire \u_logic/_al_u687_o ;
wire \u_logic/_al_u688_o ;
wire \u_logic/_al_u689_o ;
wire \u_logic/_al_u690_o ;
wire \u_logic/_al_u691_o ;
wire \u_logic/_al_u694_o ;
wire \u_logic/_al_u696_o ;
wire \u_logic/_al_u697_o ;
wire \u_logic/_al_u698_o ;
wire \u_logic/_al_u699_o ;
wire \u_logic/_al_u703_o ;
wire \u_logic/_al_u705_o ;
wire \u_logic/_al_u706_o ;
wire \u_logic/_al_u711_o ;
wire \u_logic/_al_u712_o ;
wire \u_logic/_al_u714_o ;
wire \u_logic/_al_u715_o ;
wire \u_logic/_al_u718_o ;
wire \u_logic/_al_u719_o ;
wire \u_logic/_al_u720_o ;
wire \u_logic/_al_u721_o ;
wire \u_logic/_al_u722_o ;
wire \u_logic/_al_u723_o ;
wire \u_logic/_al_u726_o ;
wire \u_logic/_al_u727_o ;
wire \u_logic/_al_u728_o ;
wire \u_logic/_al_u729_o ;
wire \u_logic/_al_u730_o ;
wire \u_logic/_al_u731_o ;
wire \u_logic/_al_u734_o ;
wire \u_logic/_al_u736_o ;
wire \u_logic/_al_u739_o ;
wire \u_logic/_al_u741_o ;
wire \u_logic/_al_u742_o ;
wire \u_logic/_al_u743_o ;
wire \u_logic/_al_u744_o ;
wire \u_logic/_al_u745_o ;
wire \u_logic/_al_u746_o ;
wire \u_logic/_al_u747_o ;
wire \u_logic/_al_u748_o ;
wire \u_logic/_al_u750_o ;
wire \u_logic/_al_u751_o ;
wire \u_logic/_al_u752_o ;
wire \u_logic/_al_u753_o ;
wire \u_logic/_al_u754_o ;
wire \u_logic/_al_u755_o ;
wire \u_logic/_al_u757_o ;
wire \u_logic/_al_u758_o ;
wire \u_logic/_al_u759_o ;
wire \u_logic/_al_u760_o ;
wire \u_logic/_al_u761_o ;
wire \u_logic/_al_u762_o ;
wire \u_logic/_al_u764_o ;
wire \u_logic/_al_u765_o ;
wire \u_logic/_al_u766_o ;
wire \u_logic/_al_u767_o ;
wire \u_logic/_al_u768_o ;
wire \u_logic/_al_u769_o ;
wire \u_logic/_al_u771_o ;
wire \u_logic/_al_u772_o ;
wire \u_logic/_al_u773_o ;
wire \u_logic/_al_u774_o ;
wire \u_logic/_al_u775_o ;
wire \u_logic/_al_u776_o ;
wire \u_logic/_al_u778_o ;
wire \u_logic/_al_u779_o ;
wire \u_logic/_al_u780_o ;
wire \u_logic/_al_u781_o ;
wire \u_logic/_al_u782_o ;
wire \u_logic/_al_u783_o ;
wire \u_logic/_al_u785_o ;
wire \u_logic/_al_u786_o ;
wire \u_logic/_al_u787_o ;
wire \u_logic/_al_u788_o ;
wire \u_logic/_al_u789_o ;
wire \u_logic/_al_u790_o ;
wire \u_logic/_al_u792_o ;
wire \u_logic/_al_u793_o ;
wire \u_logic/_al_u794_o ;
wire \u_logic/_al_u795_o ;
wire \u_logic/_al_u796_o ;
wire \u_logic/_al_u797_o ;
wire \u_logic/_al_u799_o ;
wire \u_logic/_al_u800_o ;
wire \u_logic/_al_u801_o ;
wire \u_logic/_al_u802_o ;
wire \u_logic/_al_u803_o ;
wire \u_logic/_al_u804_o ;
wire \u_logic/_al_u806_o ;
wire \u_logic/_al_u807_o ;
wire \u_logic/_al_u808_o ;
wire \u_logic/_al_u809_o ;
wire \u_logic/_al_u810_o ;
wire \u_logic/_al_u811_o ;
wire \u_logic/_al_u813_o ;
wire \u_logic/_al_u814_o ;
wire \u_logic/_al_u815_o ;
wire \u_logic/_al_u816_o ;
wire \u_logic/_al_u817_o ;
wire \u_logic/_al_u818_o ;
wire \u_logic/_al_u820_o ;
wire \u_logic/_al_u821_o ;
wire \u_logic/_al_u822_o ;
wire \u_logic/_al_u823_o ;
wire \u_logic/_al_u824_o ;
wire \u_logic/_al_u825_o ;
wire \u_logic/_al_u827_o ;
wire \u_logic/_al_u828_o ;
wire \u_logic/_al_u829_o ;
wire \u_logic/_al_u830_o ;
wire \u_logic/_al_u831_o ;
wire \u_logic/_al_u832_o ;
wire \u_logic/_al_u834_o ;
wire \u_logic/_al_u835_o ;
wire \u_logic/_al_u836_o ;
wire \u_logic/_al_u837_o ;
wire \u_logic/_al_u838_o ;
wire \u_logic/_al_u839_o ;
wire \u_logic/_al_u841_o ;
wire \u_logic/_al_u842_o ;
wire \u_logic/_al_u843_o ;
wire \u_logic/_al_u844_o ;
wire \u_logic/_al_u845_o ;
wire \u_logic/_al_u846_o ;
wire \u_logic/_al_u848_o ;
wire \u_logic/_al_u849_o ;
wire \u_logic/_al_u850_o ;
wire \u_logic/_al_u851_o ;
wire \u_logic/_al_u852_o ;
wire \u_logic/_al_u853_o ;
wire \u_logic/_al_u855_o ;
wire \u_logic/_al_u856_o ;
wire \u_logic/_al_u857_o ;
wire \u_logic/_al_u858_o ;
wire \u_logic/_al_u859_o ;
wire \u_logic/_al_u860_o ;
wire \u_logic/_al_u862_o ;
wire \u_logic/_al_u863_o ;
wire \u_logic/_al_u864_o ;
wire \u_logic/_al_u865_o ;
wire \u_logic/_al_u866_o ;
wire \u_logic/_al_u867_o ;
wire \u_logic/_al_u869_o ;
wire \u_logic/_al_u870_o ;
wire \u_logic/_al_u871_o ;
wire \u_logic/_al_u872_o ;
wire \u_logic/_al_u873_o ;
wire \u_logic/_al_u874_o ;
wire \u_logic/_al_u876_o ;
wire \u_logic/_al_u877_o ;
wire \u_logic/_al_u878_o ;
wire \u_logic/_al_u879_o ;
wire \u_logic/_al_u880_o ;
wire \u_logic/_al_u881_o ;
wire \u_logic/_al_u883_o ;
wire \u_logic/_al_u884_o ;
wire \u_logic/_al_u885_o ;
wire \u_logic/_al_u886_o ;
wire \u_logic/_al_u887_o ;
wire \u_logic/_al_u888_o ;
wire \u_logic/_al_u890_o ;
wire \u_logic/_al_u891_o ;
wire \u_logic/_al_u892_o ;
wire \u_logic/_al_u893_o ;
wire \u_logic/_al_u894_o ;
wire \u_logic/_al_u897_o ;
wire \u_logic/_al_u898_o ;
wire \u_logic/_al_u899_o ;
wire \u_logic/_al_u900_o ;
wire \u_logic/_al_u901_o ;
wire \u_logic/_al_u902_o ;
wire \u_logic/_al_u904_o ;
wire \u_logic/_al_u905_o ;
wire \u_logic/_al_u906_o ;
wire \u_logic/_al_u907_o ;
wire \u_logic/_al_u908_o ;
wire \u_logic/_al_u910_o ;
wire \u_logic/_al_u912_o ;
wire \u_logic/_al_u913_o ;
wire \u_logic/_al_u914_o ;
wire \u_logic/_al_u915_o ;
wire \u_logic/_al_u916_o ;
wire \u_logic/_al_u917_o ;
wire \u_logic/_al_u919_o ;
wire \u_logic/_al_u920_o ;
wire \u_logic/_al_u921_o ;
wire \u_logic/_al_u922_o ;
wire \u_logic/_al_u923_o ;
wire \u_logic/_al_u924_o ;
wire \u_logic/_al_u926_o ;
wire \u_logic/_al_u927_o ;
wire \u_logic/_al_u928_o ;
wire \u_logic/_al_u929_o ;
wire \u_logic/_al_u92_o ;
wire \u_logic/_al_u930_o ;
wire \u_logic/_al_u931_o ;
wire \u_logic/_al_u933_o ;
wire \u_logic/_al_u934_o ;
wire \u_logic/_al_u935_o ;
wire \u_logic/_al_u936_o ;
wire \u_logic/_al_u937_o ;
wire \u_logic/_al_u938_o ;
wire \u_logic/_al_u940_o ;
wire \u_logic/_al_u941_o ;
wire \u_logic/_al_u942_o ;
wire \u_logic/_al_u943_o ;
wire \u_logic/_al_u944_o ;
wire \u_logic/_al_u945_o ;
wire \u_logic/_al_u947_o ;
wire \u_logic/_al_u948_o ;
wire \u_logic/_al_u949_o ;
wire \u_logic/_al_u950_o ;
wire \u_logic/_al_u951_o ;
wire \u_logic/_al_u952_o ;
wire \u_logic/_al_u954_o ;
wire \u_logic/_al_u959_o ;
wire \u_logic/_al_u960_o ;
wire \u_logic/_al_u961_o ;
wire \u_logic/_al_u963_o ;
wire \u_logic/_al_u964_o ;
wire \u_logic/_al_u965_o ;
wire \u_logic/_al_u966_o ;
wire \u_logic/_al_u970_o ;
wire \u_logic/_al_u972_o ;
wire \u_logic/_al_u974_o ;
wire \u_logic/_al_u975_o ;
wire \u_logic/_al_u976_o ;
wire \u_logic/_al_u981_o ;
wire \u_logic/_al_u982_o ;
wire \u_logic/_al_u985_o ;
wire \u_logic/_al_u987_o ;
wire \u_logic/_al_u990_o ;
wire \u_logic/_al_u992_o ;
wire \u_logic/_al_u994_o ;
wire \u_logic/_al_u998_o ;
wire \u_logic/add0/c1 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
wire \u_logic/add0/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
wire \u_logic/add0/c5 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
wire \u_logic/add0/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
wire \u_logic/add0/c9 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
wire \u_logic/add1/c11 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add1/c15 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add1/c19 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add1/c23 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add1/c27 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add1/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add1/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add2/c11 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add2/c15 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add2/c19 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add2/c23 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add2/c27 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add2/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add2/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add3_add4/c11 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c15 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c19 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c23 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c27 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c31 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/eq0/xor_i0[26]_i1[26]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3110)
wire \u_logic/eq0/xor_i0[31]_i1[31]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3110)
wire \u_logic/eq1/xor_i0[1]_i1[1]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/eq1/xor_i0[20]_i1[20]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/eq1/xor_i0[26]_i1[26]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/eq1/xor_i0[29]_i1[29]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/mult0_0_0_0 ;
wire \u_logic/mult0_0_0_1 ;
wire \u_logic/mult0_0_0_10 ;
wire \u_logic/mult0_0_0_11 ;
wire \u_logic/mult0_0_0_12 ;
wire \u_logic/mult0_0_0_13 ;
wire \u_logic/mult0_0_0_14 ;
wire \u_logic/mult0_0_0_15 ;
wire \u_logic/mult0_0_0_16 ;
wire \u_logic/mult0_0_0_17 ;
wire \u_logic/mult0_0_0_18 ;
wire \u_logic/mult0_0_0_19 ;
wire \u_logic/mult0_0_0_2 ;
wire \u_logic/mult0_0_0_20 ;
wire \u_logic/mult0_0_0_21 ;
wire \u_logic/mult0_0_0_22 ;
wire \u_logic/mult0_0_0_23 ;
wire \u_logic/mult0_0_0_24 ;
wire \u_logic/mult0_0_0_25 ;
wire \u_logic/mult0_0_0_26 ;
wire \u_logic/mult0_0_0_27 ;
wire \u_logic/mult0_0_0_28 ;
wire \u_logic/mult0_0_0_29 ;
wire \u_logic/mult0_0_0_3 ;
wire \u_logic/mult0_0_0_30 ;
wire \u_logic/mult0_0_0_31 ;
wire \u_logic/mult0_0_0_4 ;
wire \u_logic/mult0_0_0_5 ;
wire \u_logic/mult0_0_0_6 ;
wire \u_logic/mult0_0_0_7 ;
wire \u_logic/mult0_0_0_8 ;
wire \u_logic/mult0_0_0_9 ;
wire \u_logic/mult0_0_1_0 ;
wire \u_logic/mult0_0_1_1 ;
wire \u_logic/mult0_0_1_10 ;
wire \u_logic/mult0_0_1_11 ;
wire \u_logic/mult0_0_1_12 ;
wire \u_logic/mult0_0_1_13 ;
wire \u_logic/mult0_0_1_2 ;
wire \u_logic/mult0_0_1_3 ;
wire \u_logic/mult0_0_1_4 ;
wire \u_logic/mult0_0_1_5 ;
wire \u_logic/mult0_0_1_6 ;
wire \u_logic/mult0_0_1_7 ;
wire \u_logic/mult0_0_1_8 ;
wire \u_logic/mult0_0_1_9 ;
wire \u_logic/mult0_1_0_0 ;
wire \u_logic/mult0_1_0_1 ;
wire \u_logic/mult0_1_0_10 ;
wire \u_logic/mult0_1_0_11 ;
wire \u_logic/mult0_1_0_12 ;
wire \u_logic/mult0_1_0_13 ;
wire \u_logic/mult0_1_0_2 ;
wire \u_logic/mult0_1_0_3 ;
wire \u_logic/mult0_1_0_4 ;
wire \u_logic/mult0_1_0_5 ;
wire \u_logic/mult0_1_0_6 ;
wire \u_logic/mult0_1_0_7 ;
wire \u_logic/mult0_1_0_8 ;
wire \u_logic/mult0_1_0_9 ;
wire \u_logic/n1008 ;
wire \u_logic/n1009 ;
wire \u_logic/n1110 ;
wire \u_logic/n1111 ;
wire \u_logic/n1112 ;
wire \u_logic/n1113 ;
wire \u_logic/n1114 ;
wire \u_logic/n1115 ;
wire \u_logic/n1116 ;
wire \u_logic/n1199 ;
wire \u_logic/n1200 ;
wire \u_logic/n1360 ;
wire \u_logic/n1481 ;
wire \u_logic/n1568 ;
wire \u_logic/n1571 ;
wire \u_logic/n1573 ;
wire \u_logic/n1577 ;
wire \u_logic/n1580 ;
wire \u_logic/n274 ;
wire \u_logic/n276 ;
wire \u_logic/n3178 ;
wire \u_logic/n327 ;
wire \u_logic/n332 ;
wire \u_logic/n3472 ;
wire \u_logic/n3708 ;
wire \u_logic/n3724 ;
wire \u_logic/n3767 ;
wire \u_logic/n394 ;
wire \u_logic/n4330 ;
wire \u_logic/n524 ;
wire \u_logic/n525 ;
wire \u_logic/n526 ;
wire \u_logic/n527 ;
wire \u_logic/n528 ;
wire \u_logic/n529 ;
wire \u_logic/n530 ;
wire \u_logic/n531 ;
wire \u_logic/n532 ;
wire \u_logic/n533 ;
wire \u_logic/n5754 ;
wire \u_logic/n602 ;
wire \u_logic/n6121_lutinv ;
wire \u_logic/n6123_lutinv ;
wire \u_logic/n6129_lutinv ;
wire \u_logic/n6149_lutinv ;
wire \u_logic/n987 ;
wire \u_logic/sub0/c1 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c11 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c13 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c15 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c17 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c19 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c21 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c23 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c5 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c9 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub1/c1 ; // ../rtl/topmodule/cortexm0ds_logic.v(3151)
wire \u_logic/sub1/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3151)
wire \u_logic/sub1/c5 ; // ../rtl/topmodule/cortexm0ds_logic.v(3151)
wire \u_logic/sub1/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3151)
wire \u_logic/u1/c1 ;
wire \u_logic/u1/c11 ;
wire \u_logic/u1/c13 ;
wire \u_logic/u1/c3 ;
wire \u_logic/u1/c5 ;
wire \u_logic/u1/c7 ;
wire \u_logic/u1/c9 ;
wire \u_logic/u2/c1 ;
wire \u_logic/u2/c11 ;
wire \u_logic/u2/c13 ;
wire \u_logic/u2/c3 ;
wire \u_logic/u2/c5 ;
wire \u_logic/u2/c7 ;
wire \u_logic/u2/c9 ;
wire \u_logic/vis_apsr_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(70)
wire \u_logic/vis_apsr_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(70)
wire \u_logic/vis_apsr_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(70)
wire \u_logic/vis_apsr_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(70)
wire \u_logic/vis_control_o ; // ../rtl/topmodule/cortexm0ds_logic.v(117)
wire \u_logic/vis_ipsr_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(71)
wire \u_logic/vis_ipsr_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(71)
wire \u_logic/vis_ipsr_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(71)
wire \u_logic/vis_ipsr_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(71)
wire \u_logic/vis_ipsr_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(71)
wire \u_logic/vis_ipsr_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(71)
wire \u_logic/vis_msp_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_pc_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_primask_o ; // ../rtl/topmodule/cortexm0ds_logic.v(118)
wire \u_logic/vis_psp_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_r0_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r10_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r11_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r12_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r14_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r1_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r2_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r3_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r4_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r5_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r6_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r7_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r8_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r9_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_tbit_o ; // ../rtl/topmodule/cortexm0ds_logic.v(116)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*D))"),
//.LUTF1("(~D*~C*B*~A)"),
//.LUTG0("(B*~(C*D))"),
//.LUTG1("(~D*~C*B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011001100),
.INIT_LUTF1(16'b0000000000000100),
.INIT_LUTG0(16'b0000110011001100),
.INIT_LUTG1(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FMDATA_Interface/reg0_b1|FMDATA_Interface/reg0_b4 (
.a({FMDATA_WADDR[2],open_n0}),
.b({FMDATA_WADDR[3],_al_u227_o}),
.c({FMDATA_WADDR[4],FMDATA_RDATA[1]}),
.ce(\FMDATA_Interface/n15 ),
.clk(clk_pad),
.d({FMDATA_WADDR[5],_al_u304_o}),
.mi({HADDR[3],HADDR[6]}),
.sr(cpuresetn),
.f({_al_u296_o,_al_u630_o}),
.q({FMDATA_WADDR[1],FMDATA_WADDR[4]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~(D*A)))"),
//.LUT1("(B*~(C*~(D*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000110000001100),
.INIT_LUT1(16'b1000110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FMDATA_Interface/reg0_b3|FMDATA_Interface/reg0_b5 (
.a({_al_u610_o,_al_u610_o}),
.b({_al_u623_o,_al_u626_o}),
.c({_al_u624_o,_al_u627_o}),
.ce(\FMDATA_Interface/n15 ),
.clk(clk_pad),
.d(UART_RX_data[3:2]),
.mi({HADDR[5],HADDR[7]}),
.sr(cpuresetn),
.f(HRDATA[3:2]),
.q({FMDATA_WADDR[3],FMDATA_WADDR[5]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(B*~(C*~(D*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1000110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FMDATA_Interface/reg1_b0|FMDATA_Interface/reg1_b3 (
.a({_al_u610_o,open_n31}),
.b({_al_u629_o,open_n32}),
.c({_al_u630_o,_al_u543_o}),
.ce(\FMDATA_Interface/n10 ),
.clk(clk_pad),
.d({UART_RX_data[1],HSEL_P1}),
.mi({\FMDATA_Interface/sel0_b0_sel_o ,\FMDATA_Interface/sel0_b3_sel_o }),
.sr(cpuresetn),
.f({HRDATA[1],\RAMDATA_Interface/n15 }),
.q({\FMDATA_Interface/size_reg [0],\FMDATA_Interface/size_reg [3]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(D*~(0*C)))"),
//.LUTF1("(~B*A*~(D*~(0*C)))"),
//.LUTG0("(~B*A*~(D*~(1*C)))"),
//.LUTG1("(~B*A*~(D*~(1*C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000100010),
.INIT_LUTF1(16'b0000000000100010),
.INIT_LUTG0(16'b0010000000100010),
.INIT_LUTG1(16'b0010000000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FMDATA_Interface/reg1_b1|FMDATA_Interface/reg1_b2 (
.a({_al_u302_o,_al_u302_o}),
.b({_al_u314_o,_al_u312_o}),
.c({_al_u304_o,_al_u304_o}),
.ce(\FMDATA_Interface/n10 ),
.clk(clk_pad),
.d({_al_u227_o,_al_u227_o}),
.e({FMDATA_RDATA[12],FMDATA_RDATA[13]}),
.mi({\FMDATA_Interface/sel0_b1_sel_o ,\FMDATA_Interface/sel0_b2_sel_o }),
.sr(cpuresetn),
.f({HRDATA[12],HRDATA[13]}),
.q({\FMDATA_Interface/size_reg [1],\FMDATA_Interface/size_reg [2]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(66)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(66)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FMDATA_Interface/wr_en_reg_reg|RAMCODE_Interface/wr_en_reg_reg (
.c({_al_u604_o,_al_u604_o}),
.clk(clk_pad),
.d({HSEL_P5,HSEL_P0}),
.sr(cpuresetn),
.f({\FMDATA_Interface/n10 ,\RAMCODE_Interface/n10 }),
.q({\FMDATA_Interface/wr_en_reg ,\RAMCODE_Interface/wr_en_reg })); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(66)
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u757"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/u11_al_u760 (
.a({\FM_Display/conter [13],\FM_Display/conter [11]}),
.b({\FM_Display/conter [14],\FM_Display/conter [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add0/c11 ),
.f({\FM_Display/n12 [13],\FM_Display/n12 [11]}),
.fco(\FM_Display/add0/c15 ),
.fx({\FM_Display/n12 [14],\FM_Display/n12 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u757"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/u15_al_u761 (
.a({\FM_Display/conter [17],\FM_Display/conter [15]}),
.b({\FM_Display/conter [18],\FM_Display/conter [16]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add0/c15 ),
.f({\FM_Display/n12 [17],\FM_Display/n12 [15]}),
.fco(\FM_Display/add0/c19 ),
.fx({\FM_Display/n12 [18],\FM_Display/n12 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u757"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/u19_al_u762 (
.a({\FM_Display/conter [21],\FM_Display/conter [19]}),
.b({\FM_Display/conter [22],\FM_Display/conter [20]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add0/c19 ),
.f({\FM_Display/n12 [21],\FM_Display/n12 [19]}),
.fco(\FM_Display/add0/c23 ),
.fx({\FM_Display/n12 [22],\FM_Display/n12 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u757"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/u23_al_u763 (
.a({\FM_Display/conter [25],\FM_Display/conter [23]}),
.b({open_n139,\FM_Display/conter [24]}),
.c(2'b00),
.d(2'b00),
.e({open_n142,1'b0}),
.fci(\FM_Display/add0/c23 ),
.f({\FM_Display/n12 [25],\FM_Display/n12 [23]}),
.fx({open_n158,\FM_Display/n12 [24]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u757"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/u3_al_u758 (
.a({\FM_Display/conter [5],\FM_Display/conter [3]}),
.b({\FM_Display/conter [6],\FM_Display/conter [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add0/c3 ),
.f({\FM_Display/n12 [5],\FM_Display/n12 [3]}),
.fco(\FM_Display/add0/c7 ),
.fx({\FM_Display/n12 [6],\FM_Display/n12 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u757"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/u7_al_u759 (
.a({\FM_Display/conter [9],\FM_Display/conter [7]}),
.b({\FM_Display/conter [10],\FM_Display/conter [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add0/c7 ),
.f({\FM_Display/n12 [9],\FM_Display/n12 [7]}),
.fco(\FM_Display/add0/c11 ),
.fx({\FM_Display/n12 [10],\FM_Display/n12 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u757"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/ucin_al_u757 (
.a({\FM_Display/conter [1],1'b0}),
.b({\FM_Display/conter [2],\FM_Display/conter [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\FM_Display/n12 [1],open_n214}),
.fco(\FM_Display/add0/c3 ),
.fx({\FM_Display/n12 [2],\FM_Display/n12 [0]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add1/ucin_al_u770"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add1/u11_al_u773 (
.a({\FM_Display/counter_1Khz [13],\FM_Display/counter_1Khz [11]}),
.b({\FM_Display/counter_1Khz [14],\FM_Display/counter_1Khz [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add1/c11 ),
.f({\FM_Display/n20 [13],\FM_Display/n20 [11]}),
.fco(\FM_Display/add1/c15 ),
.fx({\FM_Display/n20 [14],\FM_Display/n20 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add1/ucin_al_u770"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add1/u15_al_u774 (
.a({open_n235,\FM_Display/counter_1Khz [15]}),
.c(2'b00),
.d({open_n240,1'b0}),
.fci(\FM_Display/add1/c15 ),
.f({open_n257,\FM_Display/n20 [15]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add1/ucin_al_u770"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add1/u3_al_u771 (
.a({\FM_Display/counter_1Khz [5],\FM_Display/counter_1Khz [3]}),
.b({\FM_Display/counter_1Khz [6],\FM_Display/counter_1Khz [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add1/c3 ),
.f({\FM_Display/n20 [5],\FM_Display/n20 [3]}),
.fco(\FM_Display/add1/c7 ),
.fx({\FM_Display/n20 [6],\FM_Display/n20 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add1/ucin_al_u770"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add1/u7_al_u772 (
.a({\FM_Display/counter_1Khz [9],\FM_Display/counter_1Khz [7]}),
.b({\FM_Display/counter_1Khz [10],\FM_Display/counter_1Khz [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add1/c7 ),
.f({\FM_Display/n20 [9],\FM_Display/n20 [7]}),
.fco(\FM_Display/add1/c11 ),
.fx({\FM_Display/n20 [10],\FM_Display/n20 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add1/ucin_al_u770"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add1/ucin_al_u770 (
.a({\FM_Display/counter_1Khz [1],1'b0}),
.b({\FM_Display/counter_1Khz [2],\FM_Display/counter_1Khz [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\FM_Display/n20 [1],open_n316}),
.fco(\FM_Display/add1/c3 ),
.fx({\FM_Display/n20 [2],\FM_Display/n20 [0]}));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_0|FM_Display/lt0_cin (
.a({\FM_Display/conter [0],1'b0}),
.b({1'b0,open_n319}),
.fco(\FM_Display/lt0_c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_10|FM_Display/lt0_9 (
.a(\FM_Display/conter [10:9]),
.b(2'b00),
.fci(\FM_Display/lt0_c9 ),
.fco(\FM_Display/lt0_c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_12|FM_Display/lt0_11 (
.a(\FM_Display/conter [12:11]),
.b(2'b10),
.fci(\FM_Display/lt0_c11 ),
.fco(\FM_Display/lt0_c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_14|FM_Display/lt0_13 (
.a(\FM_Display/conter [14:13]),
.b(2'b11),
.fci(\FM_Display/lt0_c13 ),
.fco(\FM_Display/lt0_c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_16|FM_Display/lt0_15 (
.a(\FM_Display/conter [16:15]),
.b(2'b01),
.fci(\FM_Display/lt0_c15 ),
.fco(\FM_Display/lt0_c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_18|FM_Display/lt0_17 (
.a(\FM_Display/conter [18:17]),
.b(2'b01),
.fci(\FM_Display/lt0_c17 ),
.fco(\FM_Display/lt0_c19 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y5Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_20|FM_Display/lt0_19 (
.a(\FM_Display/conter [20:19]),
.b(2'b11),
.fci(\FM_Display/lt0_c19 ),
.fco(\FM_Display/lt0_c21 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y5Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_22|FM_Display/lt0_21 (
.a(\FM_Display/conter [22:21]),
.b(2'b11),
.fci(\FM_Display/lt0_c21 ),
.fco(\FM_Display/lt0_c23 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y6Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_24|FM_Display/lt0_23 (
.a(\FM_Display/conter [24:23]),
.b(2'b01),
.fci(\FM_Display/lt0_c23 ),
.fco(\FM_Display/lt0_c25 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_2|FM_Display/lt0_1 (
.a(\FM_Display/conter [2:1]),
.b(2'b00),
.fci(\FM_Display/lt0_c1 ),
.fco(\FM_Display/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_4|FM_Display/lt0_3 (
.a(\FM_Display/conter [4:3]),
.b(2'b00),
.fci(\FM_Display/lt0_c3 ),
.fco(\FM_Display/lt0_c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_6|FM_Display/lt0_5 (
.a(\FM_Display/conter [6:5]),
.b(2'b00),
.fci(\FM_Display/lt0_c5 ),
.fco(\FM_Display/lt0_c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_8|FM_Display/lt0_7 (
.a(\FM_Display/conter [8:7]),
.b(2'b01),
.fci(\FM_Display/lt0_c7 ),
.fco(\FM_Display/lt0_c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|FM_Display/lt0_cin"),
//.R_POSITION("X0Y6Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_cout|FM_Display/lt0_25 (
.a({1'b0,\FM_Display/conter [25]}),
.b(2'b11),
.fci(\FM_Display/lt0_c25 ),
.f({\FM_Display/n11 ,open_n651}));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|FM_Display/lt1_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_0|FM_Display/lt1_cin (
.a({\FM_Display/counter_1Khz [0],1'b0}),
.b({1'b0,open_n657}),
.fco(\FM_Display/lt1_c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|FM_Display/lt1_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_10|FM_Display/lt1_9 (
.a(\FM_Display/counter_1Khz [10:9]),
.b(2'b01),
.fci(\FM_Display/lt1_c9 ),
.fco(\FM_Display/lt1_c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|FM_Display/lt1_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_12|FM_Display/lt1_11 (
.a(\FM_Display/counter_1Khz [12:11]),
.b(2'b00),
.fci(\FM_Display/lt1_c11 ),
.fco(\FM_Display/lt1_c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|FM_Display/lt1_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_14|FM_Display/lt1_13 (
.a(\FM_Display/counter_1Khz [14:13]),
.b(2'b10),
.fci(\FM_Display/lt1_c13 ),
.fco(\FM_Display/lt1_c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|FM_Display/lt1_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_2|FM_Display/lt1_1 (
.a(\FM_Display/counter_1Khz [2:1]),
.b(2'b00),
.fci(\FM_Display/lt1_c1 ),
.fco(\FM_Display/lt1_c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|FM_Display/lt1_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_4|FM_Display/lt1_3 (
.a(\FM_Display/counter_1Khz [4:3]),
.b(2'b10),
.fci(\FM_Display/lt1_c3 ),
.fco(\FM_Display/lt1_c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|FM_Display/lt1_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_6|FM_Display/lt1_5 (
.a(\FM_Display/counter_1Khz [6:5]),
.b(2'b10),
.fci(\FM_Display/lt1_c5 ),
.fco(\FM_Display/lt1_c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|FM_Display/lt1_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_8|FM_Display/lt1_7 (
.a(\FM_Display/counter_1Khz [8:7]),
.b(2'b10),
.fci(\FM_Display/lt1_c7 ),
.fco(\FM_Display/lt1_c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|FM_Display/lt1_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_cout|FM_Display/lt1_15 (
.a({1'b0,\FM_Display/counter_1Khz [15]}),
.b(2'b11),
.fci(\FM_Display/lt1_c15 ),
.f({\FM_Display/n19 ,open_n869}));
// ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(31)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg0_b1|FM_Display/reg0_b2 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_002 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_003 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_002 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_003 }),
.c({\ethernet_i0/mac_test0/_al_u394_o ,\ethernet_i0/mac_test0/_al_u351_o }),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({HWDATA[6],HWDATA[7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u395_o ,\ethernet_i0/mac_test0/_al_u352_o }),
.q({\FM_Display/frac_digit [1],\FM_Display/frac_digit [2]})); // ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(31)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg1_b0|FM_Display/reg0_b3 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_001 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_000 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_001 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_000 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi(HWDATA[9:8]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u437_o ,\ethernet_i0/mac_test0/_al_u480_o }),
.q({\FM_Display/single_digit [0],\FM_Display/frac_digit [3]})); // ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(31)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg1_b1|FM_Display/reg1_b2 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_002 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_003 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_002 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_003 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({HWDATA[10],HWDATA[11]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u394_o ,\ethernet_i0/mac_test0/_al_u351_o }),
.q({\FM_Display/single_digit [1],\FM_Display/single_digit [2]})); // ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(31)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg2_b0|FM_Display/reg1_b3 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_016 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_017 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_016 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_017 }),
.c({\ethernet_i0/mac_test0/_al_u477_o ,\ethernet_i0/mac_test0/i [4]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi(HWDATA[13:12]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b16/B1_1 ,\ethernet_i0/mac_test0/_al_u434_o }),
.q({\FM_Display/percentage_digit [0],\FM_Display/single_digit [3]})); // ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(31)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg3_b0|FM_Display/reg3_b1 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_016 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_017 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_016 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_017 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({HWDATA[17],HWDATA[18]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u475_o ,\ethernet_i0/mac_test0/_al_u432_o }),
.q({\FM_Display/thousand_digit [0],\FM_Display/thousand_digit [1]})); // ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(31)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(B*~(A*~(D@C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b0100110011000100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg3_b2|FM_Display/reg3_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u848_o ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_018 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_rd ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_018 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g1 ,\ethernet_i0/mac_test0/i [4]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g1 ,\ethernet_i0/mac_test0/i [5]}),
.mi({HWDATA[19],HWDATA[20]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_re_empty_equal_o_o ,\ethernet_i0/mac_test0/_al_u389_o }),
.q({\FM_Display/thousand_digit [2],\FM_Display/thousand_digit [3]})); // ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b11|FM_Display/reg4_b12 (
.a({_al_u459_o,_al_u459_o}),
.b({\FM_Display/n12 [11],\FM_Display/n12 [12]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [11],\FM_Display/conter [12]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [11],\FM_Display/conter [12]})); // ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b13|FM_Display/reg4_b14 (
.a({_al_u459_o,_al_u459_o}),
.b({\FM_Display/n12 [13],\FM_Display/n12 [14]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [13],\FM_Display/conter [14]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [13],\FM_Display/conter [14]})); // ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b16|FM_Display/reg4_b17 (
.a({_al_u459_o,_al_u459_o}),
.b({\FM_Display/n12 [16],\FM_Display/n12 [17]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [16],\FM_Display/conter [17]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [16],\FM_Display/conter [17]})); // ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b18|FM_Display/reg4_b19 (
.a({_al_u459_o,_al_u459_o}),
.b({\FM_Display/n12 [18],\FM_Display/n12 [19]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [18],\FM_Display/conter [19]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [18],\FM_Display/conter [19]})); // ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b1|FM_Display/reg4_b2 (
.a({_al_u459_o,_al_u459_o}),
.b({\FM_Display/n12 [1],\FM_Display/n12 [2]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [1],\FM_Display/conter [2]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [1],\FM_Display/conter [2]})); // ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b20|FM_Display/reg4_b21 (
.a({_al_u459_o,_al_u459_o}),
.b({\FM_Display/n12 [20],\FM_Display/n12 [21]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [20],\FM_Display/conter [21]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [20],\FM_Display/conter [21]})); // ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b22|FM_Display/reg4_b25 (
.a({_al_u459_o,_al_u459_o}),
.b({\FM_Display/n12 [22],\FM_Display/n12 [25]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [22],\FM_Display/conter [25]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [22],\FM_Display/conter [25]})); // ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b23|FM_Display/reg4_b24 (
.a({_al_u459_o,_al_u459_o}),
.b({\FM_Display/n12 [23],\FM_Display/n12 [24]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [23],\FM_Display/conter [24]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [23],\FM_Display/conter [24]})); // ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b3|FM_Display/reg4_b4 (
.a({_al_u459_o,_al_u459_o}),
.b({\FM_Display/n12 [3],\FM_Display/n12 [4]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [3],\FM_Display/conter [4]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [3],\FM_Display/conter [4]})); // ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b5|FM_Display/reg4_b6 (
.a({_al_u459_o,_al_u459_o}),
.b({\FM_Display/n12 [5],\FM_Display/n12 [6]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [5],\FM_Display/conter [6]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [5],\FM_Display/conter [6]})); // ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b8|FM_Display/reg4_b9 (
.a({_al_u459_o,_al_u459_o}),
.b({\FM_Display/n12 [8],\FM_Display/n12 [9]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [8],\FM_Display/conter [9]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [8],\FM_Display/conter [9]})); // ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg5_b10|FM_Display/reg5_b7 (
.a({_al_u377_o,_al_u377_o}),
.b({\FM_Display/n20 [10],\FM_Display/n20 [7]}),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [10],\FM_Display/counter_1Khz [7]}),
.sr(RSTn_pad),
.q({\FM_Display/counter_1Khz [10],\FM_Display/counter_1Khz [7]})); // ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg5_b11|FM_Display/reg5_b12 (
.a({_al_u377_o,_al_u377_o}),
.b({\FM_Display/n20 [11],\FM_Display/n20 [12]}),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [11],\FM_Display/counter_1Khz [12]}),
.sr(RSTn_pad),
.q({\FM_Display/counter_1Khz [11],\FM_Display/counter_1Khz [12]})); // ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg5_b13|FM_Display/reg5_b14 (
.a({_al_u377_o,_al_u377_o}),
.b({\FM_Display/n20 [13],\FM_Display/n20 [14]}),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [13],\FM_Display/counter_1Khz [14]}),
.sr(RSTn_pad),
.q({\FM_Display/counter_1Khz [13],\FM_Display/counter_1Khz [14]})); // ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg5_b1|FM_Display/reg5_b2 (
.a({_al_u377_o,_al_u377_o}),
.b({\FM_Display/n20 [1],\FM_Display/n20 [2]}),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [1],\FM_Display/counter_1Khz [2]}),
.sr(RSTn_pad),
.q({\FM_Display/counter_1Khz [1],\FM_Display/counter_1Khz [2]})); // ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg5_b3|FM_Display/reg5_b4 (
.a({_al_u377_o,_al_u377_o}),
.b({\FM_Display/n20 [3],\FM_Display/n20 [4]}),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [3],\FM_Display/counter_1Khz [4]}),
.sr(RSTn_pad),
.q({\FM_Display/counter_1Khz [3],\FM_Display/counter_1Khz [4]})); // ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg5_b5|FM_Display/reg5_b6 (
.a({_al_u377_o,_al_u377_o}),
.b({\FM_Display/n20 [5],\FM_Display/n20 [6]}),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [5],\FM_Display/counter_1Khz [6]}),
.sr(RSTn_pad),
.q({\FM_Display/counter_1Khz [5],\FM_Display/counter_1Khz [6]})); // ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg5_b8|FM_Display/reg5_b9 (
.a({_al_u377_o,_al_u377_o}),
.b({\FM_Display/n20 [8],\FM_Display/n20 [9]}),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [8],\FM_Display/counter_1Khz [9]}),
.sr(RSTn_pad),
.q({\FM_Display/counter_1Khz [8],\FM_Display/counter_1Khz [9]})); // ../rtl/peripherals/FM_Display.v(76)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~C*~(0*~(~D*B))))"),
//.LUT1("(~A*~(~C*~(1*~(~D*B))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101000001010000),
.INIT_LUT1(16'b0101010101010001),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/reg6_b3 (
.a({_al_u370_o,_al_u370_o}),
.b({\FM_Display/n89 ,\FM_Display/n89 }),
.c({_al_u371_o,_al_u371_o}),
.clk(\FM_Display/clk_1KHz ),
.d({_al_u299_o,_al_u299_o}),
.mi({open_n1332,sel_pad[3]}),
.q({open_n1339,sel_pad[3]})); // ../rtl/peripherals/FM_Display.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*~C)*~(0*~A))"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("(B*~(D*~C)*~(1*~A))"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011001100),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b1000000010001000),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/reg7_b0|_al_u640 (
.a({open_n1340,_al_u637_o}),
.b({\FM_HW/FM_Demodulation/n0 ,\FM_Display/n89 }),
.c({seg_pad[0],_al_u638_o}),
.clk(\FM_Display/clk_1KHz ),
.d({_al_u595_o,_al_u639_o}),
.e({open_n1342,\FM_Display/ctrl_freq [1]}),
.f({open_n1358,_al_u640_o}),
.q({seg_pad[0],open_n1362})); // ../rtl/peripherals/FM_Display.v(112)
EG_PHY_MSLICE #(
//.LUT0("((0*~(A)*~(C)+0*A*~(C)+~(0)*A*C+0*A*C)*~(B)*~(D)+(0*~(A)*~(C)+0*A*~(C)+~(0)*A*C+0*A*C)*B*~(D)+~((0*~(A)*~(C)+0*A*~(C)+~(0)*A*C+0*A*C))*B*D+(0*~(A)*~(C)+0*A*~(C)+~(0)*A*C+0*A*C)*B*D)"),
//.LUT1("((1*~(A)*~(C)+1*A*~(C)+~(1)*A*C+1*A*C)*~(B)*~(D)+(1*~(A)*~(C)+1*A*~(C)+~(1)*A*C+1*A*C)*B*~(D)+~((1*~(A)*~(C)+1*A*~(C)+~(1)*A*C+1*A*C))*B*D+(1*~(A)*~(C)+1*A*~(C)+~(1)*A*C+1*A*C)*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110010100000),
.INIT_LUT1(16'b1100110010101111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/reg7_b1 (
.a({\FM_Display/n111 [1],\FM_Display/n111 [1]}),
.b({\FM_Display/n86 [1],\FM_Display/n86 [1]}),
.c({\FM_Display/n89 ,\FM_Display/n89 }),
.clk(\FM_Display/clk_1KHz ),
.d({\FM_Display/n29 ,\FM_Display/n29 }),
.mi({open_n1374,seg_pad[1]}),
.q({open_n1381,seg_pad[1]})); // ../rtl/peripherals/FM_Display.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("~(~(0*~(A)*~(C)+0*A*~(C)+~(0)*A*C+0*A*C)*~(B)*~(D)+~(0*~(A)*~(C)+0*A*~(C)+~(0)*A*C+0*A*C)*B*~(D)+~(~(0*~(A)*~(C)+0*A*~(C)+~(0)*A*C+0*A*C))*B*D+~(0*~(A)*~(C)+0*A*~(C)+~(0)*A*C+0*A*C)*B*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("~(~(1*~(A)*~(C)+1*A*~(C)+~(1)*A*C+1*A*C)*~(B)*~(D)+~(1*~(A)*~(C)+1*A*~(C)+~(1)*A*C+1*A*C)*B*~(D)+~(~(1*~(A)*~(C)+1*A*~(C)+~(1)*A*C+1*A*C))*B*D+~(1*~(A)*~(C)+1*A*~(C)+~(1)*A*C+1*A*C)*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111111110000),
.INIT_LUTF1(16'b0011001110100000),
.INIT_LUTG0(16'b1111101001010001),
.INIT_LUTG1(16'b0011001110101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/reg7_b3|_al_u552 (
.a({\FM_Display/n111 [3],\FM_Display/ctrl_channel_NO }),
.b({_al_u582_o,\FM_Display/channel_NO [0]}),
.c({\FM_Display/n89 ,\FM_Display/channel_NO [1]}),
.clk(\FM_Display/clk_1KHz ),
.d({\FM_Display/n29 ,\FM_Display/channel_NO [2]}),
.e({seg_pad[3],\FM_Display/channel_NO [4]}),
.f({open_n1398,_al_u552_o}),
.q({seg_pad[3],open_n1402})); // ../rtl/peripherals/FM_Display.v(112)
// ../rtl/peripherals/FM_Display.v(112)
// ../rtl/peripherals/FM_Display.v(112)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~A*~(D*~C))"),
//.LUTF1("~(D*~(C*~B))"),
//.LUTG0("~(~B*~A*~(D*~C))"),
//.LUTG1("~(D*~(C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111111101110),
.INIT_LUTF1(16'b0011000011111111),
.INIT_LUTG0(16'b1110111111101110),
.INIT_LUTG1(16'b0011000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/reg7_b6|FM_Display/reg7_b4 (
.a({open_n1403,_al_u565_o}),
.b({\FM_HW/FM_Demodulation/n0 ,_al_u568_o}),
.c({seg_pad[6],\FM_HW/FM_Demodulation/n0 }),
.clk(\FM_Display/clk_1KHz ),
.d({_al_u554_o,seg_pad[4]}),
.q({seg_pad[6],seg_pad[4]})); // ../rtl/peripherals/FM_Display.v(112)
// ../rtl/peripherals/FM_Display.v(112)
// ../rtl/peripherals/FM_Display.v(112)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(~A*~(C*~(0*~D))))"),
//.LUTF1("(~(~D*~B)*~(C*~A))"),
//.LUTG0("~(~B*~(~A*~(C*~(1*~D))))"),
//.LUTG1("(~(~D*~B)*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110111001101),
.INIT_LUTF1(16'b1010111110001100),
.INIT_LUTG0(16'b1100110111011101),
.INIT_LUTG1(16'b1010111110001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/reg7_b7|FM_Display/reg6_b2 (
.a({_al_u640_o,_al_u449_o}),
.b({_al_u641_o,_al_u370_o}),
.c({_al_u642_o,\FM_HW/FM_Demodulation/n0 }),
.clk(\FM_Display/clk_1KHz ),
.d({seg_pad[7],_al_u450_o}),
.e({open_n1428,\FM_Display/ChannelNO_or_FREQ }),
.q({seg_pad[7],sel_pad[2]})); // ../rtl/peripherals/FM_Display.v(112)
// ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(31)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010111111111),
.INIT_LUTF1(16'b0101010111111111),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg9_b0|FM_Display/reg9_b4 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_000 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_001 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_000 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_001 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_000 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_001 }),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.e({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({HWDATA[0],HWDATA[4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u482_o ,\ethernet_i0/mac_test0/_al_u439_o }),
.q({\FM_Display/channel_NO [0],\FM_Display/channel_NO [4]})); // ../rtl/peripherals/FM_Display.v(31)
EG_PHY_LSLICE #(
//.MACRO("FM_HW/Audio_PWM/add0/ucin_al_u141"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/add0/u11_al_u144 (
.a({\FM_HW/Audio_PWM/cnt [13],\FM_HW/Audio_PWM/cnt [11]}),
.b({\FM_HW/Audio_PWM/cnt [14],\FM_HW/Audio_PWM/cnt [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/Audio_PWM/add0/c11 ),
.f({\FM_HW/Audio_PWM/n2 [13],\FM_HW/Audio_PWM/n2 [11]}),
.fco(\FM_HW/Audio_PWM/add0/c15 ),
.fx({\FM_HW/Audio_PWM/n2 [14],\FM_HW/Audio_PWM/n2 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/Audio_PWM/add0/ucin_al_u141"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/add0/u15_al_u145 (
.a({open_n1482,\FM_HW/Audio_PWM/cnt [15]}),
.c(2'b00),
.d({open_n1487,1'b0}),
.fci(\FM_HW/Audio_PWM/add0/c15 ),
.f({open_n1504,\FM_HW/Audio_PWM/n2 [15]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/Audio_PWM/add0/ucin_al_u141"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/add0/u3_al_u142 (
.a({\FM_HW/Audio_PWM/cnt [5],\FM_HW/Audio_PWM/cnt [3]}),
.b({\FM_HW/Audio_PWM/cnt [6],\FM_HW/Audio_PWM/cnt [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/Audio_PWM/add0/c3 ),
.f({\FM_HW/Audio_PWM/n2 [5],\FM_HW/Audio_PWM/n2 [3]}),
.fco(\FM_HW/Audio_PWM/add0/c7 ),
.fx({\FM_HW/Audio_PWM/n2 [6],\FM_HW/Audio_PWM/n2 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/Audio_PWM/add0/ucin_al_u141"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/add0/u7_al_u143 (
.a({\FM_HW/Audio_PWM/cnt [9],\FM_HW/Audio_PWM/cnt [7]}),
.b({\FM_HW/Audio_PWM/cnt [10],\FM_HW/Audio_PWM/cnt [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/Audio_PWM/add0/c7 ),
.f({\FM_HW/Audio_PWM/n2 [9],\FM_HW/Audio_PWM/n2 [7]}),
.fco(\FM_HW/Audio_PWM/add0/c11 ),
.fx({\FM_HW/Audio_PWM/n2 [10],\FM_HW/Audio_PWM/n2 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/Audio_PWM/add0/ucin_al_u141"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/add0/ucin_al_u141 (
.a({\FM_HW/Audio_PWM/cnt [1],1'b0}),
.b({\FM_HW/Audio_PWM/cnt [2],\FM_HW/Audio_PWM/cnt [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\FM_HW/Audio_PWM/n2 [1],open_n1563}),
.fco(\FM_HW/Audio_PWM/add0/c3 ),
.fx({\FM_HW/Audio_PWM/n2 [2],\FM_HW/Audio_PWM/n2 [0]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/audio_pwm_reg_reg|Audio_PWM/lt1_15 (
.a(2'b00),
.b({1'b1,\FM_HW/Audio_PWM/cnt [15]}),
.clk(\FM_HW/clk_PWM_256 ),
.fci(\FM_HW/Audio_PWM/lt1_c15 ),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/audio_pwm_reg ,open_n1587}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin (
.a({\FM_HW/demodulated_signal_downsample [0],1'b1}),
.b({\FM_HW/Audio_PWM/cnt [0],open_n1588}),
.ce(RSTn_pad),
.clk(\FM_HW/clk_PWM_256 ),
.mi({open_n1603,\FM_HW/Audio_PWM/N }),
.fco(\FM_HW/Audio_PWM/lt1_c1 ),
.q({open_n1609,\FM_HW/Audio_PWM/N_1 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/lt1_10|Audio_PWM/lt1_9 (
.a(\FM_HW/demodulated_signal_downsample [10:9]),
.b(\FM_HW/Audio_PWM/cnt [10:9]),
.fci(\FM_HW/Audio_PWM/lt1_c9 ),
.fco(\FM_HW/Audio_PWM/lt1_c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/lt1_12|Audio_PWM/lt1_11 (
.a(\FM_HW/demodulated_signal_downsample [12:11]),
.b(\FM_HW/Audio_PWM/cnt [12:11]),
.fci(\FM_HW/Audio_PWM/lt1_c11 ),
.fco(\FM_HW/Audio_PWM/lt1_c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/lt1_14|Audio_PWM/lt1_13 (
.a({1'b0,\FM_HW/demodulated_signal_downsample [13]}),
.b(\FM_HW/Audio_PWM/cnt [14:13]),
.fci(\FM_HW/Audio_PWM/lt1_c13 ),
.fco(\FM_HW/Audio_PWM/lt1_c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/lt1_2|Audio_PWM/lt1_1 (
.a(\FM_HW/demodulated_signal_downsample [2:1]),
.b(\FM_HW/Audio_PWM/cnt [2:1]),
.fci(\FM_HW/Audio_PWM/lt1_c1 ),
.fco(\FM_HW/Audio_PWM/lt1_c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/lt1_4|Audio_PWM/lt1_3 (
.a(\FM_HW/demodulated_signal_downsample [4:3]),
.b(\FM_HW/Audio_PWM/cnt [4:3]),
.fci(\FM_HW/Audio_PWM/lt1_c3 ),
.fco(\FM_HW/Audio_PWM/lt1_c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/lt1_6|Audio_PWM/lt1_5 (
.a(\FM_HW/demodulated_signal_downsample [6:5]),
.b(\FM_HW/Audio_PWM/cnt [6:5]),
.fci(\FM_HW/Audio_PWM/lt1_c5 ),
.fco(\FM_HW/Audio_PWM/lt1_c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/lt1_8|Audio_PWM/lt1_7 (
.a(\FM_HW/demodulated_signal_downsample [8:7]),
.b(\FM_HW/Audio_PWM/cnt [8:7]),
.fci(\FM_HW/Audio_PWM/lt1_c7 ),
.fco(\FM_HW/Audio_PWM/lt1_c9 ));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~D))"),
//.LUTG0("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110000),
.INIT_LUTG0(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b0 (
.b({open_n1780,\FM_HW/Audio_PWM/N }),
.c({open_n1781,\FM_HW/Audio_PWM/n2 [0]}),
.clk(\FM_HW/clk_PWM_256 ),
.d({open_n1783,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q({open_n1805,\FM_HW/Audio_PWM/cnt [0]})); // ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~D))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(C*~(B*~D))"),
//.LUTG1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b1111000000110000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b10|FM_HW/Audio_PWM/reg0_b7 (
.b({\FM_HW/Audio_PWM/N ,\FM_HW/Audio_PWM/N }),
.c({\FM_HW/Audio_PWM/n2 [10],\FM_HW/Audio_PWM/n2 [7]}),
.clk(\FM_HW/clk_PWM_256 ),
.d({\FM_HW/Audio_PWM/N_1 ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/cnt [10],\FM_HW/Audio_PWM/cnt [7]})); // ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~D))"),
//.LUT1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110000),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b12|FM_HW/Audio_PWM/reg0_b13 (
.b({\FM_HW/Audio_PWM/N ,\FM_HW/Audio_PWM/N }),
.c({\FM_HW/Audio_PWM/n2 [12],\FM_HW/Audio_PWM/n2 [13]}),
.clk(\FM_HW/clk_PWM_256 ),
.d({\FM_HW/Audio_PWM/N_1 ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/cnt [12],\FM_HW/Audio_PWM/cnt [13]})); // ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~D))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(C*~(B*~D))"),
//.LUTG1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b1111000000110000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b14|FM_HW/Audio_PWM/reg0_b15 (
.b({\FM_HW/Audio_PWM/N ,\FM_HW/Audio_PWM/N }),
.c({\FM_HW/Audio_PWM/n2 [14],\FM_HW/Audio_PWM/n2 [15]}),
.clk(\FM_HW/clk_PWM_256 ),
.d({\FM_HW/Audio_PWM/N_1 ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/cnt [14],\FM_HW/Audio_PWM/cnt [15]})); // ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~D))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(C*~(B*~D))"),
//.LUTG1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b1111000000110000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b1|FM_HW/Audio_PWM/reg0_b2 (
.b({\FM_HW/Audio_PWM/N ,\FM_HW/Audio_PWM/N }),
.c({\FM_HW/Audio_PWM/n2 [1],\FM_HW/Audio_PWM/n2 [2]}),
.clk(\FM_HW/clk_PWM_256 ),
.d({\FM_HW/Audio_PWM/N_1 ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/cnt [1],\FM_HW/Audio_PWM/cnt [2]})); // ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~D))"),
//.LUT1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110000),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b3|FM_HW/Audio_PWM/reg0_b4 (
.b({\FM_HW/Audio_PWM/N ,\FM_HW/Audio_PWM/N }),
.c({\FM_HW/Audio_PWM/n2 [3],\FM_HW/Audio_PWM/n2 [4]}),
.clk(\FM_HW/clk_PWM_256 ),
.d({\FM_HW/Audio_PWM/N_1 ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/cnt [3],\FM_HW/Audio_PWM/cnt [4]})); // ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~D))"),
//.LUT1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110000),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b5|FM_HW/Audio_PWM/reg0_b6 (
.b({\FM_HW/Audio_PWM/N ,\FM_HW/Audio_PWM/N }),
.c({\FM_HW/Audio_PWM/n2 [5],\FM_HW/Audio_PWM/n2 [6]}),
.clk(\FM_HW/clk_PWM_256 ),
.d({\FM_HW/Audio_PWM/N_1 ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/cnt [5],\FM_HW/Audio_PWM/cnt [6]})); // ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/Audio_PWM.v(22)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~D))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(C*~(B*~D))"),
//.LUTG1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b1111000000110000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b8|FM_HW/Audio_PWM/reg0_b9 (
.b({\FM_HW/Audio_PWM/N ,\FM_HW/Audio_PWM/N }),
.c({\FM_HW/Audio_PWM/n2 [8],\FM_HW/Audio_PWM/n2 [9]}),
.clk(\FM_HW/clk_PWM_256 ),
.d({\FM_HW/Audio_PWM/N_1 ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/cnt [8],\FM_HW/Audio_PWM/cnt [9]})); // ../rtl/peripherals/Audio_PWM.v(22)
EG_PHY_GCLK \FM_HW/EOC_gclk_inst (
.clki(\FM_HW/EOC ),
.clko(\FM_HW/EOC_gclk_net ));
EG_PHY_GCLK \FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_inst (
.clki(\FM_HW/FM_Demodulation/EOC_Count_Demodulate ),
.clko(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ));
EG_PHY_GCLK \FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_inst (
.clki(\FM_HW/FM_Demodulation/I2S_BCLK_pad ),
.clko(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110001010000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/I2S_LRCLK_reg (
.a({open_n1962,\FM_HW/FM_Demodulation/_al_u525_o }),
.b({open_n1963,\FM_HW/FM_Demodulation/_al_u526_o }),
.c({open_n1964,\FM_HW/FM_Demodulation/I2S_LRCLK_pad }),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({open_n1966,\FM_HW/FM_Demodulation/I2S_TX/counter [4]}),
.sr(RSTn_pad),
.q({open_n1984,\FM_HW/FM_Demodulation/I2S_LRCLK_pad })); // ../rtl/libs/I2S_TX.v(59)
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/I2S_TX/add0/u0|I2S_TX/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/I2S_TX/add0/u0|I2S_TX/add0/ucin (
.a({\FM_HW/FM_Demodulation/I2S_TX/counter [0],1'b0}),
.b({1'b1,open_n1985}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.mi({\FM_HW/clk_fm_demo_sampling ,\FM_HW/FM_Demodulation/I2S_TX/N }),
.f({\FM_HW/FM_Demodulation/I2S_TX/n2 [0],open_n2001}),
.fco(\FM_HW/FM_Demodulation/I2S_TX/add0/c1 ),
.q({\FM_HW/FM_Demodulation/I2S_TX/N ,\FM_HW/FM_Demodulation/I2S_TX/N_1 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/I2S_TX/add0/u0|I2S_TX/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/I2S_TX/add0/u2|I2S_TX/add0/u1 (
.a(\FM_HW/FM_Demodulation/I2S_TX/counter [2:1]),
.b(2'b00),
.fci(\FM_HW/FM_Demodulation/I2S_TX/add0/c1 ),
.f(\FM_HW/FM_Demodulation/I2S_TX/n2 [2:1]),
.fco(\FM_HW/FM_Demodulation/I2S_TX/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/I2S_TX/add0/u0|I2S_TX/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/I2S_TX/add0/u4|I2S_TX/add0/u3 (
.a(\FM_HW/FM_Demodulation/I2S_TX/counter [4:3]),
.b(2'b00),
.fci(\FM_HW/FM_Demodulation/I2S_TX/add0/c3 ),
.f(\FM_HW/FM_Demodulation/I2S_TX/n2 [4:3]),
.fco(\FM_HW/FM_Demodulation/I2S_TX/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/I2S_TX/add0/u0|I2S_TX/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/I2S_TX/add0/u5_al_u710 (
.a({open_n2048,\FM_HW/FM_Demodulation/I2S_TX/counter [5]}),
.b({open_n2049,1'b0}),
.fci(\FM_HW/FM_Demodulation/I2S_TX/add0/c5 ),
.f({open_n2068,\FM_HW/FM_Demodulation/I2S_TX/n2 [5]}));
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(59)
EG_PHY_LSLICE #(
//.LUTF0("(0*~(A*~(B*(D@C))))"),
//.LUTF1("~(~(0*~(D*~C))*~(~B*A))"),
//.LUTG0("(1*~(A*~(B*(D@C))))"),
//.LUTG1("~(~(1*~(D*~C))*~(~B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0010001000100010),
.INIT_LUTG0(16'b0101110111010101),
.INIT_LUTG1(16'b1111001011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/data_temp_reg|FM_HW/FM_Demodulation/I2S_TX/reg0_b17 (
.a({\FM_HW/FM_Demodulation/_al_u551_o ,\FM_HW/FM_Demodulation/_al_u544_o }),
.b({\FM_HW/FM_Demodulation/_al_u540_o ,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [23]}),
.c({\FM_HW/FM_Demodulation/_al_u557_o ,\FM_HW/FM_Demodulation/I2S_TX/counter [0]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/_al_u562_o ,\FM_HW/FM_Demodulation/I2S_TX/counter [1]}),
.e({\FM_HW/FM_Demodulation/_al_u566_o ,\FM_HW/FM_Demodulation/I2S_TX/counter [3]}),
.mi({open_n2076,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [17]}),
.sr(RSTn_pad),
.f({open_n2088,\FM_HW/FM_Demodulation/_al_u545_o }),
.q({\FM_HW/FM_Demodulation/I2S_SDATA_pad ,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [17]})); // ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/u0|I2S_TX/i2s_1m/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/u0|I2S_TX/i2s_1m/add0/ucin (
.a({\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [0],1'b0}),
.b({1'b1,open_n2092}),
.f({\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n2 [0],open_n2112}),
.fco(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/u0|I2S_TX/i2s_1m/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/u2|I2S_TX/i2s_1m/add0/u1 (
.a(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [2:1]),
.b(2'b00),
.fci(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/c1 ),
.f(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n2 [2:1]),
.fco(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/u0|I2S_TX/i2s_1m/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/u4|I2S_TX/i2s_1m/add0/u3 (
.a(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [4:3]),
.b(2'b00),
.fci(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/c3 ),
.f(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n2 [4:3]),
.fco(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/u0|I2S_TX/i2s_1m/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/u5_al_u711 (
.a({open_n2161,\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [5]}),
.b({open_n2162,1'b0}),
.fci(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/add0/c5 ),
.f({open_n2181,\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n2 [5]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_0|I2S_TX/i2s_1m/lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/i2s_1m_clk_reg|I2S_TX/i2s_1m/lt0_5 (
.a(2'b01),
.b({1'b1,\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [5]}),
.ce(RSTn_pad),
.clk(clk_pad),
.fci(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_c5 ),
.f({\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n1 ,open_n2203}),
.q({\FM_HW/FM_Demodulation/I2S_BCLK_pad ,open_n2207}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_0|I2S_TX/i2s_1m/lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_0|I2S_TX/i2s_1m/lt0_cin (
.a(2'b11),
.b({\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [0],open_n2208}),
.fco(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_0|I2S_TX/i2s_1m/lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_2|I2S_TX/i2s_1m/lt0_1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [2:1]),
.fci(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_c1 ),
.fco(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_0|I2S_TX/i2s_1m/lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_4|I2S_TX/i2s_1m/lt0_3 (
.a(2'b10),
.b(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [4:3]),
.fci(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_c3 ),
.fco(\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/lt0_c5 ));
// ../rtl/libs/I2S_1M.v(12)
// ../rtl/libs/I2S_1M.v(12)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/reg0_b0|FM_HW/FM_Demodulation/I2S_TX/i2s_1m/reg0_b1 (
.c({\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n1 ,\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n1 }),
.clk(clk_pad),
.d({\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n2 [0],\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n2 [1]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [0],\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [1]})); // ../rtl/libs/I2S_1M.v(12)
// ../rtl/libs/I2S_1M.v(12)
// ../rtl/libs/I2S_1M.v(12)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/reg0_b2|FM_HW/FM_Demodulation/I2S_TX/i2s_1m/reg0_b3 (
.c({\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n1 ,\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n1 }),
.clk(clk_pad),
.d({\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n2 [2],\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n2 [3]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [2],\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [3]})); // ../rtl/libs/I2S_1M.v(12)
// ../rtl/libs/I2S_1M.v(12)
// ../rtl/libs/I2S_1M.v(12)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/reg0_b4|FM_HW/FM_Demodulation/I2S_TX/i2s_1m/reg0_b5 (
.c({\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n1 ,\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n1 }),
.clk(clk_pad),
.d({\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n2 [4],\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/n2 [5]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [4],\FM_HW/FM_Demodulation/I2S_TX/i2s_1m/cnt [5]})); // ../rtl/libs/I2S_1M.v(12)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg0_b0|FM_HW/FM_Demodulation/I2S_TX/reg0_b30 (
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [0],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [30]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [0],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [30]})); // ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg0_b1 (
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.mi({open_n2403,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [1]}),
.sr(RSTn_pad),
.q({open_n2409,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [1]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000001010011),
.INIT_LUTF1(16'b0000111101010011),
.INIT_LUTG0(16'b0000000001010011),
.INIT_LUTG1(16'b0000111101010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg0_b13|FM_HW/FM_Demodulation/I2S_TX/reg1_b18 (
.a({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [16],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [20]}),
.b({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [17],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [21]}),
.c({\FM_HW/FM_Demodulation/I2S_TX/counter [0],\FM_HW/FM_Demodulation/I2S_TX/counter [0]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/counter [1],\FM_HW/FM_Demodulation/I2S_TX/counter [1]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [13],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [18]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/_al_u560_o ,\FM_HW/FM_Demodulation/_al_u542_o }),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [13],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [18]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("~((~D*B)*~((~C*A))*~(0)+(~D*B)*(~C*A)*~(0)+~((~D*B))*(~C*A)*0+(~D*B)*(~C*A)*0)"),
//.LUTF1("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTG0("~((~D*B)*~((~C*A))*~(1)+(~D*B)*(~C*A)*~(1)+~((~D*B))*(~C*A)*1+(~D*B)*(~C*A)*1)"),
//.LUTG1("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100110011),
.INIT_LUTF1(16'b0101001100000000),
.INIT_LUTG0(16'b1111010111110101),
.INIT_LUTG1(16'b0101001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg0_b14|FM_HW/FM_Demodulation/I2S_TX/reg0_b18 (
.a({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [18],\FM_HW/FM_Demodulation/_al_u535_o }),
.b({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [19],\FM_HW/FM_Demodulation/_al_u537_o }),
.c({\FM_HW/FM_Demodulation/I2S_TX/counter [0],\FM_HW/FM_Demodulation/_al_u536_o }),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/counter [1],\FM_HW/FM_Demodulation/_al_u538_o }),
.e({open_n2429,\FM_HW/FM_Demodulation/I2S_TX/counter [2]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [14],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [18]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/_al_u541_o ,\FM_HW/FM_Demodulation/I2S_TX/mux1/B2_0 }),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [14],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [18]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*~C*~B*A)"),
//.LUTF1("(D*~A*~(C*B))"),
//.LUTG0("(~1*D*~C*~B*A)"),
//.LUTG1("(D*~A*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001000000000),
.INIT_LUTF1(16'b0001010100000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0001010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg0_b15|FM_HW/FM_Demodulation/I2S_TX/reg1_b11 (
.a({\FM_HW/FM_Demodulation/_al_u554_o ,\FM_HW/FM_Demodulation/I2S_TX/counter [0]}),
.b({\FM_HW/FM_Demodulation/_al_u556_o ,\FM_HW/FM_Demodulation/I2S_TX/counter [1]}),
.c({\FM_HW/FM_Demodulation/_al_u555_o ,\FM_HW/FM_Demodulation/I2S_TX/counter [2]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/counter [3],\FM_HW/FM_Demodulation/I2S_TX/counter [3]}),
.e({open_n2446,\FM_HW/FM_Demodulation/I2S_TX/counter [5]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [15],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [11]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/_al_u557_o ,\FM_HW/FM_Demodulation/_al_u526_o }),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [15],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [11]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg0_b20|FM_HW/FM_Demodulation/I2S_TX/reg3_b21 (
.b({\FM_HW/FM_Demodulation/multl18M9_9/n9 [16],\FM_HW/FM_Demodulation/multl18M9_9/n9 [18]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n6 [16],\FM_HW/FM_Demodulation/multl18M9_9/n6 [18]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [20],\FM_HW/demodulated_signal_downsample [11]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [16],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [18]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [20],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [21]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg0_b21|FM_HW/FM_Demodulation/I2S_TX/reg0_b22 (
.b({\FM_HW/FM_Demodulation/multl18M9_9/n9 [17],\FM_HW/FM_Demodulation/multl18M9_9/n9 [15]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n6 [17],\FM_HW/FM_Demodulation/multl18M9_9/n6 [15]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [21],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [22]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [15]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [21],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [22]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg0_b23|FM_HW/FM_Demodulation/I2S_TX/reg3_b18 (
.b({\FM_HW/FM_Demodulation/multl18M9_9/n9 [8],\FM_HW/FM_Demodulation/multl18M9_9/n9 [10]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n6 [8],\FM_HW/FM_Demodulation/multl18M9_9/n6 [10]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [23],\FM_HW/demodulated_signal_downsample [8]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [8],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [10]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [23],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [18]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg0_b28|FM_HW/FM_Demodulation/I2S_TX/reg0_b33 (
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [28],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [33]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [28],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [33]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg0_b2|FM_HW/FM_Demodulation/I2S_TX/reg0_b29 (
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [2],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [29]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [2],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [29]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg0_b31|FM_HW/FM_Demodulation/I2S_TX/reg0_b34 (
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [31],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [34]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [31],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [34]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg0_b32|FM_HW/FM_Demodulation/I2S_TX/reg3_b0 (
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [32],\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [0]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [32],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [0]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg1_b0|FM_HW/FM_Demodulation/I2S_TX/reg1_b1 (
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [0],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [1]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [0],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [1]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(D*~(~C*~B*A))"),
//.LUT1("(A*~(D*C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110100000000),
.INIT_LUT1(16'b1000101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg1_b10|FM_HW/FM_Demodulation/I2S_TX/reg0_b16 (
.a({\FM_HW/FM_Demodulation/_al_u524_o ,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [30]}),
.b({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [30],\FM_HW/FM_Demodulation/I2S_TX/counter [0]}),
.c({\FM_HW/FM_Demodulation/I2S_TX/counter [0],\FM_HW/FM_Demodulation/I2S_TX/counter [1]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/counter [1],\FM_HW/FM_Demodulation/I2S_TX/counter [2]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [10],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [16]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/_al_u547_o ,\FM_HW/FM_Demodulation/_al_u555_o }),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [10],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [16]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(~(0*~D*C)*~(B*A))"),
//.LUTF1("(C*B*~D)"),
//.LUTG0("(~(1*~D*C)*~(B*A))"),
//.LUTG1("(C*B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011101110111),
.INIT_LUTF1(16'b0000000011000000),
.INIT_LUTG0(16'b0111011100000111),
.INIT_LUTG1(16'b0000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg1_b15|FM_HW/FM_Demodulation/I2S_TX/reg1_b13 (
.a({open_n2656,\FM_HW/FM_Demodulation/_al_u547_o }),
.b({\FM_HW/FM_Demodulation/I2S_TX/counter [0],\FM_HW/FM_Demodulation/_al_u546_o }),
.c({\FM_HW/FM_Demodulation/I2S_TX/counter [1],\FM_HW/FM_Demodulation/_al_u548_o }),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [1],\FM_HW/FM_Demodulation/_al_u549_o }),
.e({open_n2658,\FM_HW/FM_Demodulation/_al_u534_o }),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [15],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [13]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/_al_u549_o ,\FM_HW/FM_Demodulation/_al_u550_o }),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [15],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [13]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b1100111111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg1_b16|FM_HW/FM_Demodulation/I2S_TX/reg1_b12 (
.a({open_n2674,\FM_HW/FM_Demodulation/_al_u524_o }),
.b({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [34],\FM_HW/FM_Demodulation/I2S_TX/counter [0]}),
.c({\FM_HW/FM_Demodulation/I2S_TX/counter [0],\FM_HW/FM_Demodulation/I2S_TX/counter [1]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [10],\FM_HW/FM_Demodulation/I2S_TX/counter [5]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [16],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [12]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/I2S_TX/mux1/B0_7 ,\FM_HW/FM_Demodulation/_al_u525_o }),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [16],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [12]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D))"),
//.LUTF1("(A*(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D))"),
//.LUTG1("(A*(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111111110101),
.INIT_LUTF1(16'b1000100010100000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1000100010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg1_b17|FM_HW/FM_Demodulation/I2S_TX/reg1_b19 (
.a({\FM_HW/FM_Demodulation/_al_u563_o ,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [0]}),
.b({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [0],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [22]}),
.c({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [1],\FM_HW/FM_Demodulation/I2S_TX/counter [0]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/counter [0],\FM_HW/FM_Demodulation/I2S_TX/counter [1]}),
.e({open_n2690,\FM_HW/FM_Demodulation/I2S_TX/counter [2]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [17],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [19]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/_al_u564_o ,\FM_HW/FM_Demodulation/_al_u544_o }),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [17],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [19]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b0011001100001111),
.INIT_LUTG0(16'b0101010100110011),
.INIT_LUTG1(16'b0101010111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg1_b20|FM_HW/FM_Demodulation/I2S_TX/reg1_b21 (
.a({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [17],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [21]}),
.b({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [19],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [22]}),
.c({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [20],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [23]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/counter [0],\FM_HW/FM_Demodulation/I2S_TX/counter [0]}),
.e({\FM_HW/FM_Demodulation/I2S_TX/counter [1],\FM_HW/FM_Demodulation/I2S_TX/counter [1]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [20],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [21]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/_al_u535_o ,\FM_HW/FM_Demodulation/_al_u537_o }),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [20],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [21]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(A*~(~D*~C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b1010101010101000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg1_b22|FM_HW/FM_Demodulation/I2S_TX/reg1_b23 (
.a({\FM_HW/FM_Demodulation/I2S_SDATA_pad ,open_n2722}),
.b(\FM_HW/FM_Demodulation/I2S_TX/counter [1:0]),
.c(\FM_HW/FM_Demodulation/I2S_TX/counter [2:1]),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/counter [3],\FM_HW/FM_Demodulation/I2S_SDATA_pad }),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [22],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [23]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/_al_u565_o ,\FM_HW/FM_Demodulation/_al_u538_o }),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [22],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [23]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111111110101010),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg1_b28|FM_HW/FM_Demodulation/I2S_TX/reg3_b10 (
.a({open_n2737,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [31]}),
.b({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [32],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [32]}),
.c({\FM_HW/FM_Demodulation/I2S_TX/counter [0],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [33]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [31],\FM_HW/FM_Demodulation/I2S_TX/counter [0]}),
.e({open_n2739,\FM_HW/FM_Demodulation/I2S_TX/counter [1]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [28],\FM_HW/demodulated_signal_downsample [0]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/I2S_TX/mux1/B0_21 ,\FM_HW/FM_Demodulation/_al_u546_o }),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [28],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [10]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b0000111111111111),
.INIT_LUTG0(16'b1111111110101010),
.INIT_LUTG1(16'b0101010100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg1_b2|FM_HW/FM_Demodulation/I2S_TX/reg1_b30 (
.a({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [2],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [2]}),
.b({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [28],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [28]}),
.c({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [29],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [29]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/counter [0],\FM_HW/FM_Demodulation/I2S_TX/counter [0]}),
.e({\FM_HW/FM_Demodulation/I2S_TX/counter [1],\FM_HW/FM_Demodulation/I2S_TX/counter [1]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [2],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [30]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/_al_u556_o ,\FM_HW/FM_Demodulation/_al_u548_o }),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [2],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [30]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg1_b31|FM_HW/FM_Demodulation/I2S_TX/reg3_b15 (
.b({\FM_HW/FM_Demodulation/multl18M9_9/n9 [4],\FM_HW/FM_Demodulation/multl18M9_9/n9 [6]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n6 [4],\FM_HW/FM_Demodulation/multl18M9_9/n6 [6]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [31],\FM_HW/demodulated_signal_downsample [5]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [4],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [6]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [31],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [15]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg1_b33|FM_HW/FM_Demodulation/I2S_TX/reg3_b14 (
.b({\FM_HW/FM_Demodulation/multl18M9_9/n9 [1],\FM_HW/FM_Demodulation/multl18M9_9/n9 [3]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n6 [1],\FM_HW/FM_Demodulation/multl18M9_9/n6 [3]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [33],\FM_HW/demodulated_signal_downsample [4]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [1],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [3]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [33],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [14]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(44)
// ../rtl/libs/I2S_TX.v(44)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~D))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(C*~(B*~D))"),
//.LUTG1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b1111000000110000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg2_b2|FM_HW/FM_Demodulation/I2S_TX/reg2_b1 (
.b({\FM_HW/FM_Demodulation/I2S_TX/N ,\FM_HW/FM_Demodulation/I2S_TX/N }),
.c(\FM_HW/FM_Demodulation/I2S_TX/n2 [2:1]),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/N_1 ,\FM_HW/FM_Demodulation/I2S_TX/N_1 }),
.sr(RSTn_pad),
.q(\FM_HW/FM_Demodulation/I2S_TX/counter [2:1])); // ../rtl/libs/I2S_TX.v(44)
// ../rtl/libs/I2S_TX.v(44)
// ../rtl/libs/I2S_TX.v(44)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~D))"),
//.LUT1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110000),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg2_b4|FM_HW/FM_Demodulation/I2S_TX/reg2_b0 (
.b({\FM_HW/FM_Demodulation/I2S_TX/N ,\FM_HW/FM_Demodulation/I2S_TX/N }),
.c({\FM_HW/FM_Demodulation/I2S_TX/n2 [4],\FM_HW/FM_Demodulation/I2S_TX/n2 [0]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/N_1 ,\FM_HW/FM_Demodulation/I2S_TX/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/I2S_TX/counter [4],\FM_HW/FM_Demodulation/I2S_TX/counter [0]})); // ../rtl/libs/I2S_TX.v(44)
// ../rtl/libs/I2S_TX.v(44)
// ../rtl/libs/I2S_TX.v(44)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~D))"),
//.LUT1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110000),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg2_b5|FM_HW/FM_Demodulation/I2S_TX/reg2_b3 (
.b({\FM_HW/FM_Demodulation/I2S_TX/N ,\FM_HW/FM_Demodulation/I2S_TX/N }),
.c({\FM_HW/FM_Demodulation/I2S_TX/n2 [5],\FM_HW/FM_Demodulation/I2S_TX/n2 [3]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/N_1 ,\FM_HW/FM_Demodulation/I2S_TX/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/I2S_TX/counter [5],\FM_HW/FM_Demodulation/I2S_TX/counter [3]})); // ../rtl/libs/I2S_TX.v(44)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg3_b11|FM_HW/FM_Demodulation/I2S_TX/reg3_b13 (
.b({\FM_HW/FM_Demodulation/multl18M9_9/n9 [2],\FM_HW/FM_Demodulation/multl18M9_9/n9 [5]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n6 [2],\FM_HW/FM_Demodulation/multl18M9_9/n6 [5]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({\FM_HW/demodulated_signal_downsample [1],\FM_HW/demodulated_signal_downsample [3]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [2],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [5]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [11],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [13]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000001010011),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg3_b12|FM_HW/FM_Demodulation/I2S_TX/reg1_b14 (
.a({open_n2883,\FM_HW/FM_Demodulation/I2S_TX/mux1/B0_21 }),
.b({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [34],\FM_HW/FM_Demodulation/I2S_TX/mux1/B0_20 }),
.c({\FM_HW/FM_Demodulation/I2S_TX/counter [0],\FM_HW/FM_Demodulation/I2S_TX/counter [1]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [33],\FM_HW/FM_Demodulation/I2S_TX/counter [2]}),
.mi({\FM_HW/demodulated_signal_downsample [2],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [14]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/I2S_TX/mux1/B0_20 ,\FM_HW/FM_Demodulation/_al_u554_o }),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [12],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [14]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg3_b19|FM_HW/FM_Demodulation/I2S_TX/reg3_b20 (
.b({\FM_HW/FM_Demodulation/multl18M9_9/n9 [11],\FM_HW/FM_Demodulation/multl18M9_9/n9 [12]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n6 [11],\FM_HW/FM_Demodulation/multl18M9_9/n6 [12]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({\FM_HW/demodulated_signal_downsample [9],\FM_HW/demodulated_signal_downsample [10]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [11],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [12]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [19],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [20]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg3_b1|FM_HW/FM_Demodulation/I2S_TX/reg1_b29 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [2],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n1 [2],\FM_HW/FM_Demodulation/multl18M9_9/n1 [0]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [0]}),
.mi({\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [1],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [29]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_9/n2 [2],\FM_HW/FM_Demodulation/multl18M9_9/n2 [0]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [1],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [29]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg3_b22|FM_HW/FM_Demodulation/I2S_TX/reg3_b17 (
.b({\FM_HW/FM_Demodulation/multl18M9_9/n9 [13],\FM_HW/FM_Demodulation/multl18M9_9/n9 [9]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n6 [13],\FM_HW/FM_Demodulation/multl18M9_9/n6 [9]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({\FM_HW/FM_Demodulation/demodulated_signal_sample_16bit_temp2 [15],\FM_HW/demodulated_signal_downsample [7]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [13],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [9]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [22],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [17]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg3_b23|FM_HW/FM_Demodulation/I2S_TX/reg3_b16 (
.b({\FM_HW/FM_Demodulation/multl18M9_9/n9 [14],\FM_HW/FM_Demodulation/multl18M9_9/n9 [7]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n6 [14],\FM_HW/FM_Demodulation/multl18M9_9/n6 [7]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({\FM_HW/FM_Demodulation/demodulated_signal_sample_16bit_temp2 [7],\FM_HW/demodulated_signal_downsample [6]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [7]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [23],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [16]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg3_b28|FM_HW/FM_Demodulation/I2S_TX/reg3_b32 (
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.mi({\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [3],\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [7]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [28],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [32]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg3_b29|FM_HW/FM_Demodulation/I2S_TX/reg3_b33 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [4],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [5]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n1 [4],\FM_HW/FM_Demodulation/multl18M9_9/n1 [5]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [4],\FM_HW/FM_Demodulation/demodulated_signal_sample_16bit_temp2 [0]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_9/n2 [4],\FM_HW/FM_Demodulation/multl18M9_9/n2 [5]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [29],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [33]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg3_b2|FM_HW/FM_Demodulation/I2S_TX/reg3_b30 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [3]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n1 [1],\FM_HW/FM_Demodulation/multl18M9_9/n1 [3]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [1],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [2],\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [5]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_9/n2 [1],\FM_HW/FM_Demodulation/multl18M9_9/n2 [3]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [2],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [30]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/I2S_TX/reg3_b31|FM_HW/FM_Demodulation/I2S_TX/reg3_b34 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [6],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [8]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n1 [6],\FM_HW/FM_Demodulation/multl18M9_9/n1 [8]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [6],\FM_HW/FM_Demodulation/demodulated_signal_sample_16bit_temp2 [1]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_9/n2 [6],\FM_HW/FM_Demodulation/multl18M9_9/n2 [8]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [31],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [34]})); // ../rtl/libs/I2S_TX.v(25)
EG_PHY_PAD #(
//.LOCATION("D14"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_HW/FM_Demodulation/_al_u0 (
.do({open_n3043,open_n3044,open_n3045,\FM_HW/FM_Demodulation/I2S_BCLK_pad }),
.opad(I2S_BCLK)); // ../rtl/demodulation/FM_Demodulation.v(15)
EG_PHY_PAD #(
//.LOCATION("E15"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_HW/FM_Demodulation/_al_u1 (
.do({open_n3060,open_n3061,open_n3062,\FM_HW/FM_Demodulation/I2S_LRCLK_pad }),
.opad(I2S_LRCLK)); // ../rtl/demodulation/FM_Demodulation.v(16)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u100|FM_HW/FM_Demodulation/_al_u103 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [5],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [8]}),
.c({\FM_HW/FM_Demodulation/multl18M9_3/n1 [5],\FM_HW/FM_Demodulation/multl18M9_3/n1 [8]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n2 [5],\FM_HW/FM_Demodulation/multl18M9_3/n2 [8]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u101 (
.b({open_n3100,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [6]}),
.c({open_n3101,\FM_HW/FM_Demodulation/multl18M9_3/n1 [6]}),
.d({open_n3104,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f({open_n3122,\FM_HW/FM_Demodulation/multl18M9_3/n2 [6]}));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u102|FM_HW/FM_Demodulation/_al_u89 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [7],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_3/n1 [7],\FM_HW/FM_Demodulation/multl18M9_3/n1 [10]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [10]}),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n2 [7],\FM_HW/FM_Demodulation/multl18M9_3/n2 [10]}));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u104|FM_HW/FM_Demodulation/_al_u91 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [9],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_3/n1 [9],\FM_HW/FM_Demodulation/multl18M9_3/n1 [12]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [12]}),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n2 [9],\FM_HW/FM_Demodulation/multl18M9_3/n2 [12]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u106|FM_HW/FM_Demodulation/_al_u107 (
.b(\FM_HW/FM_Demodulation/multl18M9_3/n9 [20:19]),
.c(\FM_HW/FM_Demodulation/multl18M9_3/n6 [20:19]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [20:19]));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u108|FM_HW/FM_Demodulation/_al_u111 (
.b({\FM_HW/FM_Demodulation/multl18M9_3/n9 [18],\FM_HW/FM_Demodulation/multl18M9_3/n9 [15]}),
.c({\FM_HW/FM_Demodulation/multl18M9_3/n6 [18],\FM_HW/FM_Demodulation/multl18M9_3/n6 [15]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [15]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u109|FM_HW/FM_Demodulation/_al_u110 (
.b(\FM_HW/FM_Demodulation/multl18M9_3/n9 [17:16]),
.c(\FM_HW/FM_Demodulation/multl18M9_3/n6 [17:16]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [17:16]));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u112|FM_HW/FM_Demodulation/_al_u115 (
.b({\FM_HW/FM_Demodulation/multl18M9_3/n9 [14],\FM_HW/FM_Demodulation/multl18M9_3/n9 [11]}),
.c({\FM_HW/FM_Demodulation/multl18M9_3/n6 [14],\FM_HW/FM_Demodulation/multl18M9_3/n6 [11]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [11]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u113|FM_HW/FM_Demodulation/_al_u114 (
.b(\FM_HW/FM_Demodulation/multl18M9_3/n9 [13:12]),
.c(\FM_HW/FM_Demodulation/multl18M9_3/n6 [13:12]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [13:12]));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u116|FM_HW/FM_Demodulation/_al_u119 (
.b({\FM_HW/FM_Demodulation/multl18M9_3/n9 [10],\FM_HW/FM_Demodulation/multl18M9_3/n9 [7]}),
.c({\FM_HW/FM_Demodulation/multl18M9_3/n6 [10],\FM_HW/FM_Demodulation/multl18M9_3/n6 [7]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [7]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u117|FM_HW/FM_Demodulation/_al_u118 (
.b(\FM_HW/FM_Demodulation/multl18M9_3/n9 [9:8]),
.c(\FM_HW/FM_Demodulation/multl18M9_3/n6 [9:8]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [9:8]));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u11|FM_HW/FM_Demodulation/_al_u20 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [2]}),
.c({\FM_HW/FM_Demodulation/multl18M9_0/n1 [1],\FM_HW/FM_Demodulation/multl18M9_0/n1 [2]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [1],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_0/n2 [1],\FM_HW/FM_Demodulation/multl18M9_0/n2 [2]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u120|FM_HW/FM_Demodulation/_al_u123 (
.b({\FM_HW/FM_Demodulation/multl18M9_3/n9 [6],\FM_HW/FM_Demodulation/multl18M9_3/n9 [3]}),
.c({\FM_HW/FM_Demodulation/multl18M9_3/n6 [6],\FM_HW/FM_Demodulation/multl18M9_3/n6 [3]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [3]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u121|FM_HW/FM_Demodulation/_al_u122 (
.b(\FM_HW/FM_Demodulation/multl18M9_3/n9 [5:4]),
.c(\FM_HW/FM_Demodulation/multl18M9_3/n6 [5:4]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [5:4]));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u124|FM_HW/FM_Demodulation/_al_u126 (
.b({\FM_HW/FM_Demodulation/multl18M9_3/n9 [2],\FM_HW/FM_Demodulation/multl18M9_3/n9 [0]}),
.c({\FM_HW/FM_Demodulation/multl18M9_3/n6 [2],\FM_HW/FM_Demodulation/multl18M9_3/n6 [0]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [2],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [0]}));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u127|FM_HW/FM_Demodulation/_al_u167 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n1 [0],\FM_HW/FM_Demodulation/multl18M9_5/n1 [0]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [0],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [0]}),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n2 [0],\FM_HW/FM_Demodulation/multl18M9_5/n2 [0]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u128|FM_HW/FM_Demodulation/_al_u139 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [4]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n1 [1],\FM_HW/FM_Demodulation/multl18M9_4/n1 [4]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [1],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n2 [1],\FM_HW/FM_Demodulation/multl18M9_4/n2 [4]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u129|FM_HW/FM_Demodulation/_al_u144 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [9]}),
.c(\FM_HW/FM_Demodulation/multl18M9_4/n1 [10:9]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [10],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f(\FM_HW/FM_Demodulation/multl18M9_4/n2 [10:9]));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u12|FM_HW/FM_Demodulation/_al_u27 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [9]}),
.c(\FM_HW/FM_Demodulation/multl18M9_0/n1 [10:9]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [10],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.f(\FM_HW/FM_Demodulation/multl18M9_0/n2 [10:9]));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u130|FM_HW/FM_Demodulation/_al_u131 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n1 [11],\FM_HW/FM_Demodulation/multl18M9_4/n1 [12]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [11],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [12]}),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n2 [11],\FM_HW/FM_Demodulation/multl18M9_4/n2 [12]}));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u132|FM_HW/FM_Demodulation/_al_u133 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n1 [13],\FM_HW/FM_Demodulation/multl18M9_4/n1 [14]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [13],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [14]}),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n2 [13],\FM_HW/FM_Demodulation/multl18M9_4/n2 [14]}));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u134|FM_HW/FM_Demodulation/_al_u135 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n1 [15],\FM_HW/FM_Demodulation/multl18M9_4/n1 [16]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [15],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [16]}),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n2 [15],\FM_HW/FM_Demodulation/multl18M9_4/n2 [16]}));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u136 (
.c({open_n3612,\FM_HW/FM_Demodulation/multl18M9_4/n1 [17]}),
.d({open_n3615,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f({open_n3629,\FM_HW/FM_Demodulation/multl18M9_4/n2 [17]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u137|FM_HW/FM_Demodulation/_al_u138 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [2],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [3]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n1 [2],\FM_HW/FM_Demodulation/multl18M9_4/n1 [3]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n2 [2],\FM_HW/FM_Demodulation/multl18M9_4/n2 [3]}));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u13|FM_HW/FM_Demodulation/_al_u14 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_0/n1 [11],\FM_HW/FM_Demodulation/multl18M9_0/n1 [12]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [11],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [12]}),
.f({\FM_HW/FM_Demodulation/multl18M9_0/n2 [11],\FM_HW/FM_Demodulation/multl18M9_0/n2 [12]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u140|FM_HW/FM_Demodulation/_al_u141 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [5],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [6]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n1 [5],\FM_HW/FM_Demodulation/multl18M9_4/n1 [6]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n2 [5],\FM_HW/FM_Demodulation/multl18M9_4/n2 [6]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u142|FM_HW/FM_Demodulation/_al_u143 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [7],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [8]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n1 [7],\FM_HW/FM_Demodulation/multl18M9_4/n1 [8]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n2 [7],\FM_HW/FM_Demodulation/multl18M9_4/n2 [8]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u146|FM_HW/FM_Demodulation/_al_u147 (
.b(\FM_HW/FM_Demodulation/multl18M9_4/n9 [20:19]),
.c(\FM_HW/FM_Demodulation/multl18M9_4/n6 [20:19]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [20:19]));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u148|FM_HW/FM_Demodulation/_al_u151 (
.b({\FM_HW/FM_Demodulation/multl18M9_4/n9 [18],\FM_HW/FM_Demodulation/multl18M9_4/n9 [15]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n6 [18],\FM_HW/FM_Demodulation/multl18M9_4/n6 [15]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [15]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u149|FM_HW/FM_Demodulation/_al_u150 (
.b(\FM_HW/FM_Demodulation/multl18M9_4/n9 [17:16]),
.c(\FM_HW/FM_Demodulation/multl18M9_4/n6 [17:16]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [17:16]));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u152|FM_HW/FM_Demodulation/_al_u157 (
.b({\FM_HW/FM_Demodulation/multl18M9_4/n9 [14],\FM_HW/FM_Demodulation/multl18M9_4/n9 [9]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n6 [14],\FM_HW/FM_Demodulation/multl18M9_4/n6 [9]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [9]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u153|FM_HW/FM_Demodulation/_al_u154 (
.b(\FM_HW/FM_Demodulation/multl18M9_4/n9 [13:12]),
.c(\FM_HW/FM_Demodulation/multl18M9_4/n6 [13:12]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [13:12]));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u155|FM_HW/FM_Demodulation/_al_u202 (
.b({\FM_HW/FM_Demodulation/multl18M9_4/n9 [11],\FM_HW/FM_Demodulation/multl18M9_5/n9 [5]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n6 [11],\FM_HW/FM_Demodulation/multl18M9_5/n6 [5]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [11],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [5]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u156|FM_HW/FM_Demodulation/_al_u158 (
.b({\FM_HW/FM_Demodulation/multl18M9_4/n9 [10],\FM_HW/FM_Demodulation/multl18M9_4/n9 [8]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n6 [10],\FM_HW/FM_Demodulation/multl18M9_4/n6 [8]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [8]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u159|FM_HW/FM_Demodulation/_al_u166 (
.b({\FM_HW/FM_Demodulation/multl18M9_4/n9 [7],\FM_HW/FM_Demodulation/multl18M9_4/n9 [0]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n6 [7],\FM_HW/FM_Demodulation/multl18M9_4/n6 [0]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [7],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [0]}));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u15|FM_HW/FM_Demodulation/_al_u16 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_0/n1 [13],\FM_HW/FM_Demodulation/multl18M9_0/n1 [14]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [13],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [14]}),
.f({\FM_HW/FM_Demodulation/multl18M9_0/n2 [13],\FM_HW/FM_Demodulation/multl18M9_0/n2 [14]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u160|FM_HW/FM_Demodulation/_al_u165 (
.b({\FM_HW/FM_Demodulation/multl18M9_4/n9 [6],\FM_HW/FM_Demodulation/multl18M9_4/n9 [1]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n6 [6],\FM_HW/FM_Demodulation/multl18M9_4/n6 [1]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [1]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u161|FM_HW/FM_Demodulation/_al_u164 (
.b({\FM_HW/FM_Demodulation/multl18M9_4/n9 [5],\FM_HW/FM_Demodulation/multl18M9_4/n9 [2]}),
.c({\FM_HW/FM_Demodulation/multl18M9_4/n6 [5],\FM_HW/FM_Demodulation/multl18M9_4/n6 [2]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [5],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [2]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u162|FM_HW/FM_Demodulation/_al_u163 (
.b(\FM_HW/FM_Demodulation/multl18M9_4/n9 [4:3]),
.c(\FM_HW/FM_Demodulation/multl18M9_4/n6 [4:3]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [4:3]));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u168|FM_HW/FM_Demodulation/_al_u177 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [2]}),
.c({\FM_HW/FM_Demodulation/multl18M9_5/n1 [1],\FM_HW/FM_Demodulation/multl18M9_5/n1 [2]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [1],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_5/n2 [1],\FM_HW/FM_Demodulation/multl18M9_5/n2 [2]}));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u176 (
.c({open_n4045,\FM_HW/FM_Demodulation/multl18M9_5/n1 [17]}),
.d({open_n4048,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f({open_n4062,\FM_HW/FM_Demodulation/multl18M9_5/n2 [17]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u178|FM_HW/FM_Demodulation/_al_u179 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [3],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [4]}),
.c({\FM_HW/FM_Demodulation/multl18M9_5/n1 [3],\FM_HW/FM_Demodulation/multl18M9_5/n1 [4]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_5/n2 [3],\FM_HW/FM_Demodulation/multl18M9_5/n2 [4]}));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u17|FM_HW/FM_Demodulation/_al_u18 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_0/n1 [15],\FM_HW/FM_Demodulation/multl18M9_0/n1 [16]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [15],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [16]}),
.f({\FM_HW/FM_Demodulation/multl18M9_0/n2 [15],\FM_HW/FM_Demodulation/multl18M9_0/n2 [16]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u180|FM_HW/FM_Demodulation/_al_u181 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [5],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [6]}),
.c({\FM_HW/FM_Demodulation/multl18M9_5/n1 [5],\FM_HW/FM_Demodulation/multl18M9_5/n1 [6]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_5/n2 [5],\FM_HW/FM_Demodulation/multl18M9_5/n2 [6]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u182|FM_HW/FM_Demodulation/_al_u183 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [7],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [8]}),
.c({\FM_HW/FM_Demodulation/multl18M9_5/n1 [7],\FM_HW/FM_Demodulation/multl18M9_5/n1 [8]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_5/n2 [7],\FM_HW/FM_Demodulation/multl18M9_5/n2 [8]}));
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u184|FM_HW/FM_Demodulation/reg5_b119 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [9],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_5/n1 [9],\FM_HW/FM_Demodulation/multl18M9_5/n1 [10]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [10]}),
.mi({open_n4170,\FM_HW/FM_Demodulation/dmd_data_filter[8] [0]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_5/n2 [9],\FM_HW/FM_Demodulation/multl18M9_5/n2 [10]}),
.q({open_n4185,\FM_HW/FM_Demodulation/dmd_data_filter[7] [0]})); // ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u185|FM_HW/FM_Demodulation/_al_u145 (
.c({\FM_HW/FM_Demodulation/multl18M9_5/n9 [26],\FM_HW/FM_Demodulation/multl18M9_4/n9 [26]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [21]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u186|FM_HW/FM_Demodulation/_al_u187 (
.b(\FM_HW/FM_Demodulation/multl18M9_5/n9 [21:20]),
.c(\FM_HW/FM_Demodulation/multl18M9_5/n6 [21:20]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [21:20]));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u188|FM_HW/FM_Demodulation/_al_u191 (
.b({\FM_HW/FM_Demodulation/multl18M9_5/n9 [19],\FM_HW/FM_Demodulation/multl18M9_5/n9 [16]}),
.c({\FM_HW/FM_Demodulation/multl18M9_5/n6 [19],\FM_HW/FM_Demodulation/multl18M9_5/n6 [16]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [16]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u189|FM_HW/FM_Demodulation/_al_u190 (
.b(\FM_HW/FM_Demodulation/multl18M9_5/n9 [18:17]),
.c(\FM_HW/FM_Demodulation/multl18M9_5/n6 [18:17]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [18:17]));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u19 (
.c({open_n4288,\FM_HW/FM_Demodulation/multl18M9_0/n1 [17]}),
.d({open_n4291,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.f({open_n4309,\FM_HW/FM_Demodulation/multl18M9_0/n2 [17]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u192|FM_HW/FM_Demodulation/_al_u195 (
.b({\FM_HW/FM_Demodulation/multl18M9_5/n9 [15],\FM_HW/FM_Demodulation/multl18M9_5/n9 [12]}),
.c({\FM_HW/FM_Demodulation/multl18M9_5/n6 [15],\FM_HW/FM_Demodulation/multl18M9_5/n6 [12]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [15],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [12]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u193|FM_HW/FM_Demodulation/_al_u194 (
.b(\FM_HW/FM_Demodulation/multl18M9_5/n9 [14:13]),
.c(\FM_HW/FM_Demodulation/multl18M9_5/n6 [14:13]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [14:13]));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u196|FM_HW/FM_Demodulation/_al_u199 (
.b({\FM_HW/FM_Demodulation/multl18M9_5/n9 [11],\FM_HW/FM_Demodulation/multl18M9_5/n9 [8]}),
.c({\FM_HW/FM_Demodulation/multl18M9_5/n6 [11],\FM_HW/FM_Demodulation/multl18M9_5/n6 [8]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [11],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [8]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u197|FM_HW/FM_Demodulation/_al_u198 (
.b(\FM_HW/FM_Demodulation/multl18M9_5/n9 [10:9]),
.c(\FM_HW/FM_Demodulation/multl18M9_5/n6 [10:9]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [10:9]));
EG_PHY_PAD #(
//.LOCATION("F14"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_HW/FM_Demodulation/_al_u2 (
.do({open_n4412,open_n4413,open_n4414,\FM_HW/FM_Demodulation/I2S_SDATA_pad }),
.opad(I2S_SDATA)); // ../rtl/demodulation/FM_Demodulation.v(14)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u200|FM_HW/FM_Demodulation/_al_u205 (
.b({\FM_HW/FM_Demodulation/multl18M9_5/n9 [7],\FM_HW/FM_Demodulation/multl18M9_5/n9 [2]}),
.c({\FM_HW/FM_Demodulation/multl18M9_5/n6 [7],\FM_HW/FM_Demodulation/multl18M9_5/n6 [2]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [7],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [2]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u201|FM_HW/FM_Demodulation/_al_u203 (
.b({\FM_HW/FM_Demodulation/multl18M9_5/n9 [6],\FM_HW/FM_Demodulation/multl18M9_5/n9 [4]}),
.c({\FM_HW/FM_Demodulation/multl18M9_5/n6 [6],\FM_HW/FM_Demodulation/multl18M9_5/n6 [4]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [4]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u204|FM_HW/FM_Demodulation/_al_u206 (
.b({\FM_HW/FM_Demodulation/multl18M9_5/n9 [3],\FM_HW/FM_Demodulation/multl18M9_5/n9 [1]}),
.c({\FM_HW/FM_Demodulation/multl18M9_5/n6 [3],\FM_HW/FM_Demodulation/multl18M9_5/n6 [1]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [3],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [1]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u21|FM_HW/FM_Demodulation/_al_u22 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [3],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [4]}),
.c({\FM_HW/FM_Demodulation/multl18M9_0/n1 [3],\FM_HW/FM_Demodulation/multl18M9_0/n1 [4]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_0/n2 [3],\FM_HW/FM_Demodulation/multl18M9_0/n2 [4]}));
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u224|FM_HW/FM_Demodulation/reg5_b289 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [9],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n1 [9],\FM_HW/FM_Demodulation/multl18M9_6/n1 [10]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [10]}),
.mi({open_n4526,\FM_HW/FM_Demodulation/dmd_data_filter[18] [0]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_6/n2 [9],\FM_HW/FM_Demodulation/multl18M9_6/n2 [10]}),
.q({open_n4541,\FM_HW/FM_Demodulation/dmd_data_filter[17] [0]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u239|FM_HW/FM_Demodulation/reg5_b140 (
.b({\FM_HW/FM_Demodulation/multl18M9_6/n9 [8],\FM_HW/FM_Demodulation/multl18M9_6/n9 [10]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n6 [8],\FM_HW/FM_Demodulation/multl18M9_6/n6 [10]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.mi({open_n4555,\FM_HW/FM_Demodulation/dmd_data_filter[9] [4]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [8],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [10]}),
.q({open_n4559,\FM_HW/FM_Demodulation/dmd_data_filter[8] [4]})); // ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u23|FM_HW/FM_Demodulation/_al_u24 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [5],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [6]}),
.c({\FM_HW/FM_Demodulation/multl18M9_0/n1 [5],\FM_HW/FM_Demodulation/multl18M9_0/n1 [6]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_0/n2 [5],\FM_HW/FM_Demodulation/multl18M9_0/n2 [6]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u240|FM_HW/FM_Demodulation/_al_u242 (
.b({\FM_HW/FM_Demodulation/multl18M9_6/n9 [7],\FM_HW/FM_Demodulation/multl18M9_6/n9 [5]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n6 [7],\FM_HW/FM_Demodulation/multl18M9_6/n6 [5]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [7],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [5]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u241|FM_HW/FM_Demodulation/_al_u243 (
.b({\FM_HW/FM_Demodulation/multl18M9_6/n9 [6],\FM_HW/FM_Demodulation/multl18M9_6/n9 [4]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n6 [6],\FM_HW/FM_Demodulation/multl18M9_6/n6 [4]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [4]}));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u248 (
.b({open_n4636,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.c({open_n4637,\FM_HW/FM_Demodulation/multl18M9_7/n1 [0]}),
.d({open_n4640,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [0]}),
.f({open_n4658,\FM_HW/FM_Demodulation/multl18M9_7/n2 [0]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u249|FM_HW/FM_Demodulation/_al_u258 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [2]}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n1 [1],\FM_HW/FM_Demodulation/multl18M9_7/n1 [2]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [1],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_7/n2 [1],\FM_HW/FM_Demodulation/multl18M9_7/n2 [2]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u250|FM_HW/FM_Demodulation/_al_u265 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [9]}),
.c(\FM_HW/FM_Demodulation/multl18M9_7/n1 [10:9]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [10],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.f(\FM_HW/FM_Demodulation/multl18M9_7/n2 [10:9]));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u251|FM_HW/FM_Demodulation/_al_u252 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n1 [11],\FM_HW/FM_Demodulation/multl18M9_7/n1 [12]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [11],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [12]}),
.f({\FM_HW/FM_Demodulation/multl18M9_7/n2 [11],\FM_HW/FM_Demodulation/multl18M9_7/n2 [12]}));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u253|FM_HW/FM_Demodulation/_al_u254 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n1 [13],\FM_HW/FM_Demodulation/multl18M9_7/n1 [14]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [13],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [14]}),
.f({\FM_HW/FM_Demodulation/multl18M9_7/n2 [13],\FM_HW/FM_Demodulation/multl18M9_7/n2 [14]}));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u255|FM_HW/FM_Demodulation/_al_u256 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n1 [15],\FM_HW/FM_Demodulation/multl18M9_7/n1 [16]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [15],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [16]}),
.f({\FM_HW/FM_Demodulation/multl18M9_7/n2 [15],\FM_HW/FM_Demodulation/multl18M9_7/n2 [16]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u257 (
.c({open_n4790,\FM_HW/FM_Demodulation/multl18M9_7/n1 [17]}),
.d({open_n4793,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.f({open_n4811,\FM_HW/FM_Demodulation/multl18M9_7/n2 [17]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u259|FM_HW/FM_Demodulation/_al_u260 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [3],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [4]}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n1 [3],\FM_HW/FM_Demodulation/multl18M9_7/n1 [4]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_7/n2 [3],\FM_HW/FM_Demodulation/multl18M9_7/n2 [4]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u25|FM_HW/FM_Demodulation/_al_u26 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [7],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [8]}),
.c({\FM_HW/FM_Demodulation/multl18M9_0/n1 [7],\FM_HW/FM_Demodulation/multl18M9_0/n1 [8]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_0/n2 [7],\FM_HW/FM_Demodulation/multl18M9_0/n2 [8]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u261|FM_HW/FM_Demodulation/_al_u262 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [5],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [6]}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n1 [5],\FM_HW/FM_Demodulation/multl18M9_7/n1 [6]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_7/n2 [5],\FM_HW/FM_Demodulation/multl18M9_7/n2 [6]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u263|FM_HW/FM_Demodulation/_al_u264 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [7],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [8]}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n1 [7],\FM_HW/FM_Demodulation/multl18M9_7/n1 [8]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_7/n2 [7],\FM_HW/FM_Demodulation/multl18M9_7/n2 [8]}));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u286|FM_HW/FM_Demodulation/_al_u285 (
.b({\FM_HW/FM_Demodulation/multl18M9_8/n9 [22],open_n4915}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n6 [22],\FM_HW/FM_Demodulation/multl18M9_8/n9 [26]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [23]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u287|FM_HW/FM_Demodulation/_al_u291 (
.b({\FM_HW/FM_Demodulation/multl18M9_8/n9 [21],\FM_HW/FM_Demodulation/multl18M9_8/n9 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n6 [21],\FM_HW/FM_Demodulation/multl18M9_8/n6 [17]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [21],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [17]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u288 (
.b({open_n4960,\FM_HW/FM_Demodulation/multl18M9_8/n9 [20]}),
.c({open_n4961,\FM_HW/FM_Demodulation/multl18M9_8/n6 [20]}),
.d({open_n4964,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.f({open_n4978,\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [20]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u289|FM_HW/FM_Demodulation/_al_u295 (
.b({\FM_HW/FM_Demodulation/multl18M9_8/n9 [19],\FM_HW/FM_Demodulation/multl18M9_8/n9 [13]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n6 [19],\FM_HW/FM_Demodulation/multl18M9_8/n6 [13]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [13]}));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u29 (
.b({open_n5012,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.c({open_n5013,\FM_HW/FM_Demodulation/multl18M9_1/n1 [0]}),
.d({open_n5016,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [0]}),
.f({open_n5030,\FM_HW/FM_Demodulation/multl18M9_1/n2 [0]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u290|FM_HW/FM_Demodulation/_al_u292 (
.b({\FM_HW/FM_Demodulation/multl18M9_8/n9 [18],\FM_HW/FM_Demodulation/multl18M9_8/n9 [16]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n6 [18],\FM_HW/FM_Demodulation/multl18M9_8/n6 [16]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [16]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u293|FM_HW/FM_Demodulation/_al_u299 (
.b({\FM_HW/FM_Demodulation/multl18M9_8/n9 [15],\FM_HW/FM_Demodulation/multl18M9_8/n9 [9]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n6 [15],\FM_HW/FM_Demodulation/multl18M9_8/n6 [9]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [15],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [9]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u294|FM_HW/FM_Demodulation/_al_u296 (
.b({\FM_HW/FM_Demodulation/multl18M9_8/n9 [14],\FM_HW/FM_Demodulation/multl18M9_8/n9 [12]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n6 [14],\FM_HW/FM_Demodulation/multl18M9_8/n6 [12]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [12]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u297|FM_HW/FM_Demodulation/_al_u303 (
.b({\FM_HW/FM_Demodulation/multl18M9_8/n9 [11],\FM_HW/FM_Demodulation/multl18M9_8/n9 [5]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n6 [11],\FM_HW/FM_Demodulation/multl18M9_8/n6 [5]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [11],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [5]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u298|FM_HW/FM_Demodulation/_al_u300 (
.b({\FM_HW/FM_Demodulation/multl18M9_8/n9 [10],\FM_HW/FM_Demodulation/multl18M9_8/n9 [8]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n6 [10],\FM_HW/FM_Demodulation/multl18M9_8/n6 [8]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [8]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u301|FM_HW/FM_Demodulation/_al_u326 (
.b({\FM_HW/FM_Demodulation/multl18M9_8/n9 [7],open_n5160}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n6 [7],\FM_HW/FM_Demodulation/multl18M9_9/n9 [26]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [7],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [23]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u302|FM_HW/FM_Demodulation/_al_u304 (
.b({\FM_HW/FM_Demodulation/multl18M9_8/n9 [6],\FM_HW/FM_Demodulation/multl18M9_8/n9 [4]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n6 [6],\FM_HW/FM_Demodulation/multl18M9_8/n6 [4]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [4]}));
// ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u305|FM_HW/FM_Demodulation/reg8_b12 (
.b({\FM_HW/FM_Demodulation/multl18M9_8/n9 [3],open_n5209}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n6 [3],open_n5210}),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [22]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [3],open_n5224}),
.q({open_n5228,\FM_HW/demodulated_signal_downsample [12]})); // ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u306|FM_HW/FM_Demodulation/_al_u307 (
.b(\FM_HW/FM_Demodulation/multl18M9_8/n9 [2:1]),
.c(\FM_HW/FM_Demodulation/multl18M9_8/n6 [2:1]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [2:1]));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u30|FM_HW/FM_Demodulation/_al_u39 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [2]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n1 [1],\FM_HW/FM_Demodulation/multl18M9_1/n1 [2]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [1],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n2 [1],\FM_HW/FM_Demodulation/multl18M9_1/n2 [2]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u31|FM_HW/FM_Demodulation/_al_u46 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [9]}),
.c(\FM_HW/FM_Demodulation/multl18M9_1/n1 [10:9]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [10],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.f(\FM_HW/FM_Demodulation/multl18M9_1/n2 [10:9]));
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u328|FM_HW/FM_Demodulation/I2S_TX/reg0_b19 (
.b({\FM_HW/FM_Demodulation/multl18M9_9/n9 [21],\FM_HW/FM_Demodulation/multl18M9_9/n9 [19]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n6 [21],\FM_HW/FM_Demodulation/multl18M9_9/n6 [19]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({open_n5301,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [19]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [21],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [19]}),
.q({open_n5316,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [19]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u329|FM_HW/FM_Demodulation/reg11_b15 (
.b({\FM_HW/FM_Demodulation/multl18M9_9/n9 [20],\FM_HW/FM_Demodulation/multl18M9_9/n9 [22]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n6 [20],\FM_HW/FM_Demodulation/multl18M9_9/n6 [22]}),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({open_n5330,\FM_HW/FM_Demodulation/dmd_data_filtered_temp [22]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [20],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [22]}),
.q({open_n5334,\FM_HW/FM_Demodulation/demodulated_signal_sample_16bit_temp2 [15]})); // ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u32|FM_HW/FM_Demodulation/_al_u33 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n1 [11],\FM_HW/FM_Demodulation/multl18M9_1/n1 [12]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [11],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [12]}),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n2 [11],\FM_HW/FM_Demodulation/multl18M9_1/n2 [12]}));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u34|FM_HW/FM_Demodulation/_al_u35 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n1 [13],\FM_HW/FM_Demodulation/multl18M9_1/n1 [14]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [13],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [14]}),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n2 [13],\FM_HW/FM_Demodulation/multl18M9_1/n2 [14]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u366|FM_HW/FM_Demodulation/_al_u372 (
.b({open_n5389,\FM_HW/FM_Demodulation/QdataN [1]}),
.c({\FM_HW/FM_Demodulation/QdataN [7],\FM_HW/FM_Demodulation/QdataN [7]}),
.d({\FM_HW/FM_Demodulation/multlQN/n1 [7],\FM_HW/FM_Demodulation/multlQN/n1 [1]}),
.f({\FM_HW/FM_Demodulation/multlQN/n2 [7],\FM_HW/FM_Demodulation/multlQN/n2 [1]}));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u36|FM_HW/FM_Demodulation/_al_u37 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n1 [15],\FM_HW/FM_Demodulation/multl18M9_1/n1 [16]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [15],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [16]}),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n2 [15],\FM_HW/FM_Demodulation/multl18M9_1/n2 [16]}));
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/_al_u370|FM_HW/FM_Demodulation/reg2_b0 (
.b({\FM_HW/FM_Demodulation/QdataN [3],\FM_HW/FM_Demodulation/QdataN [4]}),
.c({\FM_HW/FM_Demodulation/QdataN [7],\FM_HW/FM_Demodulation/QdataN [7]}),
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Demodulation/multlQN/n1 [3],\FM_HW/FM_Demodulation/multlQN/n1 [4]}),
.mi({open_n5444,\FM_HW/FM_Demodulation/QdataN_1 [0]}),
.f({\FM_HW/FM_Demodulation/multlQN/n2 [3],\FM_HW/FM_Demodulation/multlQN/n2 [4]}),
.q({open_n5449,\FM_HW/FM_Demodulation/QdataN [0]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u371|FM_HW/FM_Demodulation/reg12_b24 (
.b({\FM_HW/FM_Demodulation/QdataN [2],\FM_HW/FM_Demodulation/QdataN [0]}),
.c({\FM_HW/FM_Demodulation/QdataN [7],\FM_HW/FM_Demodulation/QdataN [7]}),
.clk(clk_fm_ethernet_gclk_net),
.d({\FM_HW/FM_Demodulation/multlQN/n1 [2],\FM_HW/FM_Demodulation/multlQN/n1 [0]}),
.mi({open_n5463,\FM_HW/FM_Demodulation/IQdatatemp1 [8]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multlQN/n2 [2],\FM_HW/FM_Demodulation/multlQN/n2 [0]}),
.q({open_n5467,fm_data_ethernet[24]})); // ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u374 (
.c({open_n5472,\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.d({open_n5475,\FM_HW/FM_Demodulation/multlIN/n4 [7]}),
.f({open_n5493,\FM_HW/FM_Demodulation/multlIN/n5 [7]}));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u379|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b19 (
.b({\FM_HW/FM_Demodulation/QdataN_1 [2],open_n5501}),
.c({\FM_HW/FM_Demodulation/QdataN_1 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_udp_len [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\FM_HW/FM_Demodulation/multlIN/n4 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 }),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multlIN/n5 [2],open_n5519}),
.q({open_n5523,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [19]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u380|FM_HW/FM_Demodulation/_al_u381 (
.b(\FM_HW/FM_Demodulation/QdataN_1 [1:0]),
.c({\FM_HW/FM_Demodulation/QdataN_1 [7],\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.d(\FM_HW/FM_Demodulation/multlIN/n4 [1:0]),
.f(\FM_HW/FM_Demodulation/multlIN/n5 [1:0]));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u382|FM_HW/FM_Demodulation/_al_u403 (
.b({\FM_HW/FM_Demodulation/multl18M9_10/n6 [9],\FM_HW/FM_Demodulation/multl18M9_10/n6 [10]}),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n9 [9],\FM_HW/FM_Demodulation/multl18M9_10/n9 [10]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [9],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [10]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u383|FM_HW/FM_Demodulation/_al_u391 (
.b({\FM_HW/FM_Demodulation/multl18M9_10/n6 [8],\FM_HW/FM_Demodulation/multl18M9_10/n6 [21]}),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n9 [8],\FM_HW/FM_Demodulation/multl18M9_10/n9 [21]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [8],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [21]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u384|FM_HW/FM_Demodulation/_al_u388 (
.b({\FM_HW/FM_Demodulation/multl18M9_10/n6 [7],\FM_HW/FM_Demodulation/multl18M9_10/n6 [3]}),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n9 [7],\FM_HW/FM_Demodulation/multl18M9_10/n9 [3]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [7],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [3]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u385|FM_HW/FM_Demodulation/_al_u386 (
.b(\FM_HW/FM_Demodulation/multl18M9_10/n6 [6:5]),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d(\FM_HW/FM_Demodulation/multl18M9_10/n9 [6:5]),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [6:5]));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u387|FM_HW/FM_Demodulation/_al_u393 (
.b({\FM_HW/FM_Demodulation/multl18M9_10/n6 [4],\FM_HW/FM_Demodulation/multl18M9_10/n6 [2]}),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n9 [4],\FM_HW/FM_Demodulation/multl18M9_10/n9 [2]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [4],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [2]}));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u38|FM_HW/FM_Demodulation/_al_u10 (
.b({open_n5670,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n1 [17],\FM_HW/FM_Demodulation/multl18M9_0/n1 [0]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [0]}),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n2 [17],\FM_HW/FM_Demodulation/multl18M9_0/n2 [0]}));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u390|FM_HW/FM_Demodulation/_al_u389 (
.b({\FM_HW/FM_Demodulation/multl18M9_10/n6 [22],open_n5697}),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n9 [22],\FM_HW/FM_Demodulation/multl18M9_10/n9 [26]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [23]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u392|FM_HW/FM_Demodulation/_al_u396 (
.b({\FM_HW/FM_Demodulation/multl18M9_10/n6 [20],\FM_HW/FM_Demodulation/multl18M9_10/n6 [17]}),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n9 [20],\FM_HW/FM_Demodulation/multl18M9_10/n9 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [20],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [17]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u394|FM_HW/FM_Demodulation/_al_u395 (
.b(\FM_HW/FM_Demodulation/multl18M9_10/n6 [19:18]),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d(\FM_HW/FM_Demodulation/multl18M9_10/n9 [19:18]),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [19:18]));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u397|FM_HW/FM_Demodulation/_al_u400 (
.b({\FM_HW/FM_Demodulation/multl18M9_10/n6 [16],\FM_HW/FM_Demodulation/multl18M9_10/n6 [13]}),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n9 [16],\FM_HW/FM_Demodulation/multl18M9_10/n9 [13]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [16],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [13]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u398|FM_HW/FM_Demodulation/_al_u399 (
.b(\FM_HW/FM_Demodulation/multl18M9_10/n6 [15:14]),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d(\FM_HW/FM_Demodulation/multl18M9_10/n9 [15:14]),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [15:14]));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u401|FM_HW/FM_Demodulation/_al_u402 (
.b(\FM_HW/FM_Demodulation/multl18M9_10/n6 [12:11]),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d(\FM_HW/FM_Demodulation/multl18M9_10/n9 [12:11]),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [12:11]));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u404|FM_HW/FM_Demodulation/_al_u419 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter[10] [9],\FM_HW/FM_Demodulation/dmd_data_filter[10] [10]}),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n1 [9],\FM_HW/FM_Demodulation/multl18M9_10/n1 [10]}),
.f({\FM_HW/FM_Demodulation/multl18M9_10/n2 [9],\FM_HW/FM_Demodulation/multl18M9_10/n2 [10]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u405|FM_HW/FM_Demodulation/_al_u408 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter[10] [8],\FM_HW/FM_Demodulation/dmd_data_filter[10] [5]}),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n1 [8],\FM_HW/FM_Demodulation/multl18M9_10/n1 [5]}),
.f({\FM_HW/FM_Demodulation/multl18M9_10/n2 [8],\FM_HW/FM_Demodulation/multl18M9_10/n2 [5]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u406 (
.b({open_n5886,\FM_HW/FM_Demodulation/dmd_data_filter[10] [7]}),
.c({open_n5887,\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d({open_n5890,\FM_HW/FM_Demodulation/multl18M9_10/n1 [7]}),
.f({open_n5904,\FM_HW/FM_Demodulation/multl18M9_10/n2 [7]}));
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u407|FM_HW/FM_Demodulation/reg5_b165 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter[10] [6],\FM_HW/FM_Demodulation/dmd_data_filter[10] [0]}),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n1 [6],\FM_HW/FM_Demodulation/multl18M9_10/n1 [0]}),
.mi({open_n5923,\FM_HW/FM_Demodulation/dmd_data_filter[10] [12]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_10/n2 [6],\FM_HW/FM_Demodulation/multl18M9_10/n2 [0]}),
.q({open_n5927,\FM_HW/FM_Demodulation/dmd_data_filter[9] [12]})); // ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u40|FM_HW/FM_Demodulation/_al_u41 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [3],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [4]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n1 [3],\FM_HW/FM_Demodulation/multl18M9_1/n1 [4]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n2 [3],\FM_HW/FM_Demodulation/multl18M9_1/n2 [4]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u412 (
.c({open_n5958,\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d({open_n5961,\FM_HW/FM_Demodulation/multl18M9_10/n1 [17]}),
.f({open_n5979,\FM_HW/FM_Demodulation/multl18M9_10/n2 [17]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u413|FM_HW/FM_Demodulation/_al_u414 (
.b({open_n5987,\FM_HW/FM_Demodulation/dmd_data_filter[10] [15]}),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d(\FM_HW/FM_Demodulation/multl18M9_10/n1 [16:15]),
.f(\FM_HW/FM_Demodulation/multl18M9_10/n2 [16:15]));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u415|FM_HW/FM_Demodulation/_al_u416 (
.b(\FM_HW/FM_Demodulation/dmd_data_filter[10] [14:13]),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d(\FM_HW/FM_Demodulation/multl18M9_10/n1 [14:13]),
.f(\FM_HW/FM_Demodulation/multl18M9_10/n2 [14:13]));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u417|FM_HW/FM_Demodulation/_al_u418 (
.b(\FM_HW/FM_Demodulation/dmd_data_filter[10] [12:11]),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.d(\FM_HW/FM_Demodulation/multl18M9_10/n1 [12:11]),
.f(\FM_HW/FM_Demodulation/multl18M9_10/n2 [12:11]));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u42|FM_HW/FM_Demodulation/_al_u43 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [5],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [6]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n1 [5],\FM_HW/FM_Demodulation/multl18M9_1/n1 [6]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n2 [5],\FM_HW/FM_Demodulation/multl18M9_1/n2 [6]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u44|FM_HW/FM_Demodulation/_al_u45 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [7],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [8]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n1 [7],\FM_HW/FM_Demodulation/multl18M9_1/n1 [8]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n2 [7],\FM_HW/FM_Demodulation/multl18M9_1/n2 [8]}));
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u459|FM_HW/FM_Demodulation/reg5_b18 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [4],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [7]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n9 [5],\FM_HW/FM_Demodulation/multl18M9_1/n9 [8]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.mi({open_n6114,\FM_HW/FM_Demodulation/dmd_data_filter[2] [1]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [5],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [8]}),
.q({open_n6129,\FM_HW/FM_Demodulation/dmd_data_filter[1] [1]})); // ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u460|FM_HW/FM_Demodulation/_al_u461 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [5],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [6]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n9 [6],\FM_HW/FM_Demodulation/multl18M9_1/n9 [7]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [7]}));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u48 (
.b({open_n6158,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.c({open_n6159,\FM_HW/FM_Demodulation/multl18M9_2/n1 [0]}),
.d({open_n6162,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [0]}),
.f({open_n6180,\FM_HW/FM_Demodulation/multl18M9_2/n2 [0]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUTF1("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUTG0("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUTG1("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
.INIT_LUTF0(16'b1010110011001010),
.INIT_LUTF1(16'b1010110011001010),
.INIT_LUTG0(16'b1010110011001010),
.INIT_LUTG1(16'b1010110011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u483|FM_HW/FM_Demodulation/_al_u496 (
.a({\FM_HW/FM_Demodulation/multlQN/n6 [9],\FM_HW/FM_Demodulation/multlQN/n6 [10]}),
.b({\FM_HW/FM_Demodulation/multlQN/n9 [9],\FM_HW/FM_Demodulation/multlQN/n9 [10]}),
.c({\FM_HW/FM_Demodulation/IdataN_1 [7],\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.d({\FM_HW/FM_Demodulation/QdataN [7],\FM_HW/FM_Demodulation/QdataN [7]}),
.f({\FM_HW/FM_Demodulation/QNMultIN_1 [9],\FM_HW/FM_Demodulation/QNMultIN_1 [10]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUTF1("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUTG0("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUTG1("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
.INIT_LUTF0(16'b1010110011001010),
.INIT_LUTF1(16'b1010110011001010),
.INIT_LUTG0(16'b1010110011001010),
.INIT_LUTG1(16'b1010110011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u484|FM_HW/FM_Demodulation/_al_u487 (
.a({\FM_HW/FM_Demodulation/multlQN/n6 [8],\FM_HW/FM_Demodulation/multlQN/n6 [5]}),
.b({\FM_HW/FM_Demodulation/multlQN/n9 [8],\FM_HW/FM_Demodulation/multlQN/n9 [5]}),
.c({\FM_HW/FM_Demodulation/IdataN_1 [7],\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.d({\FM_HW/FM_Demodulation/QdataN [7],\FM_HW/FM_Demodulation/QdataN [7]}),
.f({\FM_HW/FM_Demodulation/QNMultIN_1 [8],\FM_HW/FM_Demodulation/QNMultIN_1 [5]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUTG0("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
.INIT_LUTF0(16'b1010110011001010),
.INIT_LUTG0(16'b1010110011001010),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u485 (
.a({open_n6234,\FM_HW/FM_Demodulation/multlQN/n6 [7]}),
.b({open_n6235,\FM_HW/FM_Demodulation/multlQN/n9 [7]}),
.c({open_n6236,\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.d({open_n6239,\FM_HW/FM_Demodulation/QdataN [7]}),
.f({open_n6257,\FM_HW/FM_Demodulation/QNMultIN_1 [7]}));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUT1("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
.INIT_LUT0(16'b1010110011001010),
.INIT_LUT1(16'b1010110011001010),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u486|FM_HW/FM_Demodulation/_al_u489 (
.a({\FM_HW/FM_Demodulation/multlQN/n6 [6],\FM_HW/FM_Demodulation/multlQN/n6 [3]}),
.b({\FM_HW/FM_Demodulation/multlQN/n9 [6],\FM_HW/FM_Demodulation/multlQN/n9 [3]}),
.c({\FM_HW/FM_Demodulation/IdataN_1 [7],\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.d({\FM_HW/FM_Demodulation/QdataN [7],\FM_HW/FM_Demodulation/QdataN [7]}),
.f({\FM_HW/FM_Demodulation/QNMultIN_1 [6],\FM_HW/FM_Demodulation/QNMultIN_1 [3]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUTF1("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUTG0("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUTG1("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
.INIT_LUTF0(16'b1010110011001010),
.INIT_LUTF1(16'b1010110011001010),
.INIT_LUTG0(16'b1010110011001010),
.INIT_LUTG1(16'b1010110011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u488|FM_HW/FM_Demodulation/_al_u497 (
.a({\FM_HW/FM_Demodulation/multlQN/n6 [4],\FM_HW/FM_Demodulation/multlQN/n6 [1]}),
.b({\FM_HW/FM_Demodulation/multlQN/n9 [4],\FM_HW/FM_Demodulation/multlQN/n9 [1]}),
.c({\FM_HW/FM_Demodulation/IdataN_1 [7],\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.d({\FM_HW/FM_Demodulation/QdataN [7],\FM_HW/FM_Demodulation/QdataN [7]}),
.f({\FM_HW/FM_Demodulation/QNMultIN_1 [4],\FM_HW/FM_Demodulation/QNMultIN_1 [1]}));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUT1("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
.INIT_LUT0(16'b1010110011001010),
.INIT_LUT1(16'b1010110011001010),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u490|FM_HW/FM_Demodulation/_al_u498 (
.a({\FM_HW/FM_Demodulation/multlQN/n6 [2],\FM_HW/FM_Demodulation/multlQN/n6 [0]}),
.b({\FM_HW/FM_Demodulation/multlQN/n9 [2],\FM_HW/FM_Demodulation/multlQN/n9 [0]}),
.c({\FM_HW/FM_Demodulation/IdataN_1 [7],\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.d({\FM_HW/FM_Demodulation/QdataN [7],\FM_HW/FM_Demodulation/QdataN [7]}),
.f({\FM_HW/FM_Demodulation/QNMultIN_1 [2],\FM_HW/FM_Demodulation/QNMultIN_1 [0]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUTF1("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUTG0("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUTG1("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
.INIT_LUTF0(16'b1010110011001010),
.INIT_LUTF1(16'b1010110011001010),
.INIT_LUTG0(16'b1010110011001010),
.INIT_LUTG1(16'b1010110011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u492|FM_HW/FM_Demodulation/_al_u491 (
.a({\FM_HW/FM_Demodulation/multlQN/n6 [14],\FM_HW/FM_Demodulation/multlQN/n6 [15]}),
.b({\FM_HW/FM_Demodulation/multlQN/n9 [14],\FM_HW/FM_Demodulation/multlQN/n9 [15]}),
.c({\FM_HW/FM_Demodulation/IdataN_1 [7],\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.d({\FM_HW/FM_Demodulation/QdataN [7],\FM_HW/FM_Demodulation/QdataN [7]}),
.f({\FM_HW/FM_Demodulation/QNMultIN_1 [14],\FM_HW/FM_Demodulation/QNMultIN_1 [15]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUT1("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
.INIT_LUT0(16'b1100101010101100),
.INIT_LUT1(16'b1010110011001010),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u493|FM_HW/FM_Demodulation/_al_u501 (
.a({\FM_HW/FM_Demodulation/multlQN/n6 [13],\FM_HW/FM_Demodulation/multlIN/n9 [7]}),
.b({\FM_HW/FM_Demodulation/multlQN/n9 [13],\FM_HW/FM_Demodulation/multlIN/n6 [7]}),
.c({\FM_HW/FM_Demodulation/IdataN_1 [7],\FM_HW/FM_Demodulation/IdataN [7]}),
.d({\FM_HW/FM_Demodulation/QdataN [7],\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.f({\FM_HW/FM_Demodulation/QNMultIN_1 [13],\FM_HW/FM_Demodulation/INMultQN_1 [7]}));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
//.LUT1("(A*~(B)*~((D@C))+A*B*~((D@C))+~(A)*B*(D@C)+A*B*(D@C))"),
.INIT_LUT0(16'b1010110011001010),
.INIT_LUT1(16'b1010110011001010),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u494|FM_HW/FM_Demodulation/_al_u495 (
.a(\FM_HW/FM_Demodulation/multlQN/n6 [12:11]),
.b(\FM_HW/FM_Demodulation/multlQN/n9 [12:11]),
.c({\FM_HW/FM_Demodulation/IdataN_1 [7],\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.d({\FM_HW/FM_Demodulation/QdataN [7],\FM_HW/FM_Demodulation/QdataN [7]}),
.f(\FM_HW/FM_Demodulation/QNMultIN_1 [12:11]));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTF1("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTG0("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTG1("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
.INIT_LUTF0(16'b1100101010101100),
.INIT_LUTF1(16'b1100101010101100),
.INIT_LUTG0(16'b1100101010101100),
.INIT_LUTG1(16'b1100101010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u499|FM_HW/FM_Demodulation/_al_u512 (
.a({\FM_HW/FM_Demodulation/multlIN/n9 [9],\FM_HW/FM_Demodulation/multlIN/n9 [10]}),
.b({\FM_HW/FM_Demodulation/multlIN/n6 [9],\FM_HW/FM_Demodulation/multlIN/n6 [10]}),
.c({\FM_HW/FM_Demodulation/IdataN [7],\FM_HW/FM_Demodulation/IdataN [7]}),
.d({\FM_HW/FM_Demodulation/QdataN_1 [7],\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.f({\FM_HW/FM_Demodulation/INMultQN_1 [9],\FM_HW/FM_Demodulation/INMultQN_1 [10]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u49|FM_HW/FM_Demodulation/_al_u58 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [2]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n1 [1],\FM_HW/FM_Demodulation/multl18M9_2/n1 [2]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [1],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n2 [1],\FM_HW/FM_Demodulation/multl18M9_2/n2 [2]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUT1("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
.INIT_LUT0(16'b1100101010101100),
.INIT_LUT1(16'b1100101010101100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u500|FM_HW/FM_Demodulation/_al_u509 (
.a({\FM_HW/FM_Demodulation/multlIN/n9 [8],\FM_HW/FM_Demodulation/multlIN/n9 [13]}),
.b({\FM_HW/FM_Demodulation/multlIN/n6 [8],\FM_HW/FM_Demodulation/multlIN/n6 [13]}),
.c({\FM_HW/FM_Demodulation/IdataN [7],\FM_HW/FM_Demodulation/IdataN [7]}),
.d({\FM_HW/FM_Demodulation/QdataN_1 [7],\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.f({\FM_HW/FM_Demodulation/INMultQN_1 [8],\FM_HW/FM_Demodulation/INMultQN_1 [13]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTF1("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTG0("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTG1("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
.INIT_LUTF0(16'b1100101010101100),
.INIT_LUTF1(16'b1100101010101100),
.INIT_LUTG0(16'b1100101010101100),
.INIT_LUTG1(16'b1100101010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u502|FM_HW/FM_Demodulation/_al_u503 (
.a(\FM_HW/FM_Demodulation/multlIN/n9 [6:5]),
.b(\FM_HW/FM_Demodulation/multlIN/n6 [6:5]),
.c({\FM_HW/FM_Demodulation/IdataN [7],\FM_HW/FM_Demodulation/IdataN [7]}),
.d({\FM_HW/FM_Demodulation/QdataN_1 [7],\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.f(\FM_HW/FM_Demodulation/INMultQN_1 [6:5]));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTF1("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTG0("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTG1("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
.INIT_LUTF0(16'b1100101010101100),
.INIT_LUTF1(16'b1100101010101100),
.INIT_LUTG0(16'b1100101010101100),
.INIT_LUTG1(16'b1100101010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u504|FM_HW/FM_Demodulation/_al_u505 (
.a(\FM_HW/FM_Demodulation/multlIN/n9 [4:3]),
.b(\FM_HW/FM_Demodulation/multlIN/n6 [4:3]),
.c({\FM_HW/FM_Demodulation/IdataN [7],\FM_HW/FM_Demodulation/IdataN [7]}),
.d({\FM_HW/FM_Demodulation/QdataN_1 [7],\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.f(\FM_HW/FM_Demodulation/INMultQN_1 [4:3]));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTF1("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTG0("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTG1("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
.INIT_LUTF0(16'b1100101010101100),
.INIT_LUTF1(16'b1100101010101100),
.INIT_LUTG0(16'b1100101010101100),
.INIT_LUTG1(16'b1100101010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u506|FM_HW/FM_Demodulation/_al_u513 (
.a(\FM_HW/FM_Demodulation/multlIN/n9 [2:1]),
.b(\FM_HW/FM_Demodulation/multlIN/n6 [2:1]),
.c({\FM_HW/FM_Demodulation/IdataN [7],\FM_HW/FM_Demodulation/IdataN [7]}),
.d({\FM_HW/FM_Demodulation/QdataN_1 [7],\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.f(\FM_HW/FM_Demodulation/INMultQN_1 [2:1]));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTF1("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTG0("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUTG1("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
.INIT_LUTF0(16'b1100101010101100),
.INIT_LUTF1(16'b1100101010101100),
.INIT_LUTG0(16'b1100101010101100),
.INIT_LUTG1(16'b1100101010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u508|FM_HW/FM_Demodulation/_al_u507 (
.a({\FM_HW/FM_Demodulation/multlIN/n9 [14],\FM_HW/FM_Demodulation/multlIN/n9 [15]}),
.b({\FM_HW/FM_Demodulation/multlIN/n6 [14],\FM_HW/FM_Demodulation/multlIN/n6 [15]}),
.c({\FM_HW/FM_Demodulation/IdataN [7],\FM_HW/FM_Demodulation/IdataN [7]}),
.d({\FM_HW/FM_Demodulation/QdataN_1 [7],\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.f({\FM_HW/FM_Demodulation/INMultQN_1 [14],\FM_HW/FM_Demodulation/INMultQN_1 [15]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u50|FM_HW/FM_Demodulation/_al_u65 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [9]}),
.c(\FM_HW/FM_Demodulation/multl18M9_2/n1 [10:9]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [10],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f(\FM_HW/FM_Demodulation/multl18M9_2/n2 [10:9]));
EG_PHY_MSLICE #(
//.LUT0("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
//.LUT1("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
.INIT_LUT0(16'b1100101010101100),
.INIT_LUT1(16'b1100101010101100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u510|FM_HW/FM_Demodulation/_al_u511 (
.a(\FM_HW/FM_Demodulation/multlIN/n9 [12:11]),
.b(\FM_HW/FM_Demodulation/multlIN/n6 [12:11]),
.c({\FM_HW/FM_Demodulation/IdataN [7],\FM_HW/FM_Demodulation/IdataN [7]}),
.d({\FM_HW/FM_Demodulation/QdataN_1 [7],\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.f(\FM_HW/FM_Demodulation/INMultQN_1 [12:11]));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~C*~B*A))"),
//.LUT1("(B*~(A)*~((D@C))+B*A*~((D@C))+~(B)*A*(D@C)+B*A*(D@C))"),
.INIT_LUT0(16'b1111110100000000),
.INIT_LUT1(16'b1100101010101100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u514|ethernet_i0/mac_test0/_al_u374 (
.a({\FM_HW/FM_Demodulation/multlIN/n9 [0],\ethernet_i0/mac_test0/_al_u372_o }),
.b({\FM_HW/FM_Demodulation/multlIN/n6 [0],\ethernet_i0/mac_test0/_al_u373_o }),
.c({\FM_HW/FM_Demodulation/IdataN [7],\ethernet_i0/mac_test0/i [6]}),
.d({\FM_HW/FM_Demodulation/QdataN_1 [7],\ethernet_i0/mac_test0/j [0]}),
.f({\FM_HW/FM_Demodulation/INMultQN_1 [0],\ethernet_i0/mac_test0/_al_u374_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_LSLICE #(
//.LUTF0("~(~(~D*B)*~(C*A))"),
//.LUTF1("(C*~B*~D)"),
//.LUTG0("~(~(~D*B)*~(C*A))"),
//.LUTG1("(C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000011101100),
.INIT_LUTF1(16'b0000000000110000),
.INIT_LUTG0(16'b1010000011101100),
.INIT_LUTG1(16'b0000000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u515|ethernet_i0/mac_test0/reg8_b5 (
.a({open_n6623,\ethernet_i0/mac_test0/udp_tx_req }),
.b({FM_HW_state[2],\ethernet_i0/mac_test0/n59_lutinv }),
.c({FM_HW_state[1],\ethernet_i0/mac_test0/udp_ram_data_req }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({FM_HW_state[3],\ethernet_i0/mac_test0/write_end }),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/n0 ,open_n6641}),
.q({open_n6645,\ethernet_i0/mac_test0/state [5]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u51|FM_HW/FM_Demodulation/_al_u52 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n1 [11],\FM_HW/FM_Demodulation/multl18M9_2/n1 [12]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [11],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [12]}),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n2 [11],\FM_HW/FM_Demodulation/multl18M9_2/n2 [12]}));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~D)"),
//.LUT1("(~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
.INIT_LUT0(16'b0000000000000011),
.INIT_LUT1(16'b0000000001010011),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u530|FM_HW/FM_Demodulation/_al_u563 (
.a({\FM_HW/FM_Demodulation/I2S_TX/mux1/B0_5 ,open_n6668}),
.b({\FM_HW/FM_Demodulation/I2S_TX/mux1/B0_4 ,\FM_HW/FM_Demodulation/I2S_TX/counter [2]}),
.c({\FM_HW/FM_Demodulation/I2S_TX/counter [1],\FM_HW/FM_Demodulation/I2S_TX/counter [3]}),
.d(\FM_HW/FM_Demodulation/I2S_TX/counter [2:1]),
.f({\FM_HW/FM_Demodulation/_al_u530_o ,\FM_HW/FM_Demodulation/_al_u563_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b0000111101010011),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u532|FM_HW/FM_Demodulation/_al_u558 (
.a({open_n6689,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [12]}),
.b({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [12],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [13]}),
.c({\FM_HW/FM_Demodulation/I2S_TX/counter [0],\FM_HW/FM_Demodulation/I2S_TX/counter [0]}),
.d({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [11],\FM_HW/FM_Demodulation/I2S_TX/counter [1]}),
.f({\FM_HW/FM_Demodulation/I2S_TX/mux1/B0_6 ,\FM_HW/FM_Demodulation/_al_u558_o }));
// ../rtl/peripherals/Audio_PWM.v(22)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/_al_u534|FM_HW/Audio_PWM/N_reg (
.c({\FM_HW/FM_Demodulation/I2S_TX/counter [3],\FM_HW/FM_Demodulation/I2S_TX/counter [3]}),
.ce(RSTn_pad),
.clk(\FM_HW/clk_PWM_256 ),
.d({\FM_HW/FM_Demodulation/I2S_TX/counter [2],\FM_HW/FM_Demodulation/I2S_TX/counter [2]}),
.mi({open_n6724,\FM_HW/clk_fm_demo_sampling }),
.f({\FM_HW/FM_Demodulation/_al_u534_o ,\FM_HW/FM_Demodulation/_al_u524_o }),
.q({open_n6729,\FM_HW/Audio_PWM/N })); // ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/peripherals/FM_Display.v(76)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~(~C*B))"),
//.LUT1("(C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100001100),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u536|FM_Display/clk_1KHz_reg (
.b({\FM_HW/FM_Demodulation/I2S_TX/counter [0],\FM_Display/clk_1KHz }),
.c({\FM_HW/FM_Demodulation/I2S_TX/counter [1],\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [18],_al_u377_o}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/_al_u536_o ,open_n6745}),
.q({open_n6749,\FM_Display/clk_1KHz })); // ../rtl/peripherals/FM_Display.v(76)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u53|FM_HW/FM_Demodulation/_al_u54 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n1 [13],\FM_HW/FM_Demodulation/multl18M9_2/n1 [14]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [13],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [14]}),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n2 [13],\FM_HW/FM_Demodulation/multl18M9_2/n2 [14]}));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(A*~((~C*~B))*~(D)+A*(~C*~B)*~(D)+~(A)*(~C*~B)*D+A*(~C*~B)*D))"),
//.LUT1("(~1*~(A*~((~C*~B))*~(D)+A*(~C*~B)*~(D)+~(A)*(~C*~B)*D+A*(~C*~B)*D))"),
.INIT_LUT0(16'b1111110001010101),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/FM_Demodulation/_al_u540 (
.a({\FM_HW/FM_Demodulation/I2S_TX/mux1/B2_0 ,\FM_HW/FM_Demodulation/I2S_TX/mux1/B2_0 }),
.b({\FM_HW/FM_Demodulation/_al_u530_o ,\FM_HW/FM_Demodulation/_al_u530_o }),
.c({\FM_HW/FM_Demodulation/_al_u533_o ,\FM_HW/FM_Demodulation/_al_u533_o }),
.d({\FM_HW/FM_Demodulation/I2S_TX/counter [3],\FM_HW/FM_Demodulation/I2S_TX/counter [3]}),
.mi({open_n6784,\FM_HW/FM_Demodulation/I2S_TX/counter [4]}),
.fx({open_n6789,\FM_HW/FM_Demodulation/_al_u540_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTF1("(C*~(~B*~D))"),
//.LUTG0("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTG1("(C*~(~B*~D))"),
.INIT_LUTF0(16'b0101001100000000),
.INIT_LUTF1(16'b1111000011000000),
.INIT_LUTG0(16'b0101001100000000),
.INIT_LUTG1(16'b1111000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u543|FM_HW/FM_Demodulation/_al_u533 (
.a({open_n6792,\FM_HW/FM_Demodulation/I2S_TX/mux1/B0_7 }),
.b({\FM_HW/FM_Demodulation/_al_u542_o ,\FM_HW/FM_Demodulation/I2S_TX/mux1/B0_6 }),
.c(\FM_HW/FM_Demodulation/I2S_TX/counter [2:1]),
.d({\FM_HW/FM_Demodulation/_al_u541_o ,\FM_HW/FM_Demodulation/I2S_TX/counter [2]}),
.f({\FM_HW/FM_Demodulation/_al_u543_o ,\FM_HW/FM_Demodulation/_al_u533_o }));
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(~0*~(D*A*~(C*~B)))"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(~1*~(D*A*~(C*~B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b0111010111111111),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u551|FM_HW/FM_Demodulation/I2S_TX/reg0_b10 (
.a({\FM_HW/FM_Demodulation/_al_u550_o ,open_n6817}),
.b({\FM_HW/FM_Demodulation/_al_u543_o ,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [16]}),
.c({\FM_HW/FM_Demodulation/_al_u545_o ,\FM_HW/FM_Demodulation/I2S_TX/counter [0]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/counter [4],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [15]}),
.e({\FM_HW/FM_Demodulation/I2S_TX/counter [5],open_n6819}),
.mi({open_n6821,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [10]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/_al_u551_o ,\FM_HW/FM_Demodulation/I2S_TX/mux1/B0_4 }),
.q({open_n6836,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [10]})); // ../rtl/libs/I2S_TX.v(25)
EG_PHY_MSLICE #(
//.LUT0("(B*(~(A)*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*C*~(D)*0+A*~(C)*D*0+~(A)*C*D*0+A*C*D*0))"),
//.LUT1("(B*(~(A)*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*C*~(D)*1+A*~(C)*D*1+~(A)*C*D*1+A*C*D*1))"),
.INIT_LUT0(16'b0100010001000100),
.INIT_LUT1(16'b1100100001000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/FM_Demodulation/_al_u559 (
.a({\FM_HW/FM_Demodulation/_al_u558_o ,\FM_HW/FM_Demodulation/_al_u558_o }),
.b({\FM_HW/FM_Demodulation/_al_u534_o ,\FM_HW/FM_Demodulation/_al_u534_o }),
.c({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [10],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [10]}),
.d({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [11],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [11]}),
.mi({open_n6849,\FM_HW/FM_Demodulation/I2S_TX/counter [1]}),
.fx({open_n6854,\FM_HW/FM_Demodulation/_al_u559_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u55|FM_HW/FM_Demodulation/_al_u56 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n1 [15],\FM_HW/FM_Demodulation/multl18M9_2/n1 [16]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [15],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [16]}),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n2 [15],\FM_HW/FM_Demodulation/multl18M9_2/n2 [16]}));
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~B*~A))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(D*~(C*~B*~A))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111100000000),
.INIT_LUTF1(16'b1100101000001111),
.INIT_LUTG0(16'b1110111100000000),
.INIT_LUTG1(16'b1100101000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u561|FM_HW/FM_Demodulation/I2S_TX/reg0_b12 (
.a({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [14],\FM_HW/FM_Demodulation/_al_u564_o }),
.b({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [15],\FM_HW/FM_Demodulation/_al_u565_o }),
.c({\FM_HW/FM_Demodulation/_al_u560_o ,\FM_HW/FM_Demodulation/I2S_TX/counter [4]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/counter [1],\FM_HW/FM_Demodulation/I2S_TX/counter [5]}),
.mi({open_n6887,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [12]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/I2S_TX/mux1/B1_8 ,\FM_HW/FM_Demodulation/_al_u566_o }),
.q({open_n6902,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [12]})); // ../rtl/libs/I2S_TX.v(25)
// ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(~D*~A*~(C*B))"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(~D*~A*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b0000000000010101),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b0000000000010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u562|FM_HW/FM_Demodulation/I2S_TX/reg0_b11 (
.a({\FM_HW/FM_Demodulation/_al_u559_o ,open_n6903}),
.b({\FM_HW/FM_Demodulation/I2S_TX/mux1/B1_8 ,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [14]}),
.c({\FM_HW/FM_Demodulation/_al_u524_o ,\FM_HW/FM_Demodulation/I2S_TX/counter [0]}),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/I2S_TX/counter [4],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [13]}),
.mi({open_n6908,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_1 [11]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/_al_u562_o ,\FM_HW/FM_Demodulation/I2S_TX/mux1/B0_5 }),
.q({open_n6923,\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [11]})); // ../rtl/libs/I2S_TX.v(25)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u57|FM_HW/FM_Demodulation/_al_u66 (
.c({\FM_HW/FM_Demodulation/multl18M9_2/n1 [17],\FM_HW/FM_Demodulation/multl18M9_2/n9 [26]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n2 [17],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [20]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u59|FM_HW/FM_Demodulation/_al_u60 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [3],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [4]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n1 [3],\FM_HW/FM_Demodulation/multl18M9_2/n1 [4]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n2 [3],\FM_HW/FM_Demodulation/multl18M9_2/n2 [4]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u61|FM_HW/FM_Demodulation/_al_u62 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [5],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [6]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n1 [5],\FM_HW/FM_Demodulation/multl18M9_2/n1 [6]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n2 [5],\FM_HW/FM_Demodulation/multl18M9_2/n2 [6]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u63|FM_HW/FM_Demodulation/_al_u64 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [7],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [8]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n1 [7],\FM_HW/FM_Demodulation/multl18M9_2/n1 [8]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n2 [7],\FM_HW/FM_Demodulation/multl18M9_2/n2 [8]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u67|FM_HW/FM_Demodulation/_al_u70 (
.b({\FM_HW/FM_Demodulation/multl18M9_2/n9 [19],\FM_HW/FM_Demodulation/multl18M9_2/n9 [16]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n6 [19],\FM_HW/FM_Demodulation/multl18M9_2/n6 [16]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [16]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u68|FM_HW/FM_Demodulation/_al_u74 (
.b({\FM_HW/FM_Demodulation/multl18M9_2/n9 [18],\FM_HW/FM_Demodulation/multl18M9_2/n9 [12]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n6 [18],\FM_HW/FM_Demodulation/multl18M9_2/n6 [12]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [12]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u69|FM_HW/FM_Demodulation/_al_u71 (
.b({\FM_HW/FM_Demodulation/multl18M9_2/n9 [17],\FM_HW/FM_Demodulation/multl18M9_2/n9 [15]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n6 [17],\FM_HW/FM_Demodulation/multl18M9_2/n6 [15]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [15]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u72|FM_HW/FM_Demodulation/_al_u125 (
.b({\FM_HW/FM_Demodulation/multl18M9_2/n9 [14],\FM_HW/FM_Demodulation/multl18M9_3/n9 [1]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n6 [14],\FM_HW/FM_Demodulation/multl18M9_3/n6 [1]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [1]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u73|FM_HW/FM_Demodulation/_al_u75 (
.b({\FM_HW/FM_Demodulation/multl18M9_2/n9 [13],\FM_HW/FM_Demodulation/multl18M9_2/n9 [11]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n6 [13],\FM_HW/FM_Demodulation/multl18M9_2/n6 [11]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [13],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [11]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u76|FM_HW/FM_Demodulation/_al_u79 (
.b({\FM_HW/FM_Demodulation/multl18M9_2/n9 [10],\FM_HW/FM_Demodulation/multl18M9_2/n9 [7]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n6 [10],\FM_HW/FM_Demodulation/multl18M9_2/n6 [7]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [7]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u77|FM_HW/FM_Demodulation/_al_u78 (
.b(\FM_HW/FM_Demodulation/multl18M9_2/n9 [9:8]),
.c(\FM_HW/FM_Demodulation/multl18M9_2/n6 [9:8]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [9:8]));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u80|FM_HW/FM_Demodulation/_al_u87 (
.b({\FM_HW/FM_Demodulation/multl18M9_2/n9 [6],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n6 [6],\FM_HW/FM_Demodulation/multl18M9_3/n1 [0]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [0]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [6],\FM_HW/FM_Demodulation/multl18M9_3/n2 [0]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u81|FM_HW/FM_Demodulation/_al_u85 (
.b({\FM_HW/FM_Demodulation/multl18M9_2/n9 [5],\FM_HW/FM_Demodulation/multl18M9_2/n9 [1]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n6 [5],\FM_HW/FM_Demodulation/multl18M9_2/n6 [1]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [5],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [1]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u82|FM_HW/FM_Demodulation/_al_u83 (
.b(\FM_HW/FM_Demodulation/multl18M9_2/n9 [4:3]),
.c(\FM_HW/FM_Demodulation/multl18M9_2/n6 [4:3]),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [4:3]));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u84|FM_HW/FM_Demodulation/_al_u86 (
.b({\FM_HW/FM_Demodulation/multl18M9_2/n9 [2],\FM_HW/FM_Demodulation/multl18M9_2/n9 [0]}),
.c({\FM_HW/FM_Demodulation/multl18M9_2/n6 [2],\FM_HW/FM_Demodulation/multl18M9_2/n6 [0]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [2],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [0]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u88|FM_HW/FM_Demodulation/_al_u97 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [2]}),
.c({\FM_HW/FM_Demodulation/multl18M9_3/n1 [1],\FM_HW/FM_Demodulation/multl18M9_3/n1 [2]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [1],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n2 [1],\FM_HW/FM_Demodulation/multl18M9_3/n2 [2]}));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u90|FM_HW/FM_Demodulation/_al_u93 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_3/n1 [11],\FM_HW/FM_Demodulation/multl18M9_3/n1 [14]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [11],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [14]}),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n2 [11],\FM_HW/FM_Demodulation/multl18M9_3/n2 [14]}));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u92|FM_HW/FM_Demodulation/_al_u95 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_3/n1 [13],\FM_HW/FM_Demodulation/multl18M9_3/n1 [16]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [13],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [16]}),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n2 [13],\FM_HW/FM_Demodulation/multl18M9_3/n2 [16]}));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u94|FM_HW/FM_Demodulation/_al_u105 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],open_n7364}),
.c({\FM_HW/FM_Demodulation/multl18M9_3/n1 [15],\FM_HW/FM_Demodulation/multl18M9_3/n9 [26]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [15],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n2 [15],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [21]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u96 (
.c({open_n7389,\FM_HW/FM_Demodulation/multl18M9_3/n1 [17]}),
.d({open_n7392,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f({open_n7410,\FM_HW/FM_Demodulation/multl18M9_3/n2 [17]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/FM_Demodulation/_al_u98|FM_HW/FM_Demodulation/_al_u99 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [3],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [4]}),
.c({\FM_HW/FM_Demodulation/multl18M9_3/n1 [3],\FM_HW/FM_Demodulation/multl18M9_3/n1 [4]}),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n2 [3],\FM_HW/FM_Demodulation/multl18M9_3/n2 [4]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add0/u0|add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/add0/u0|add0/ucin (
.a({\FM_HW/FM_Demodulation/dmd_data_filter[20] [0],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter[0] [0],open_n7438}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[20] [2:1]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [0],open_n7454}),
.fco(\FM_HW/FM_Demodulation/add0/c1 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[19] [2:1]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add0/u0|add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add0/u10|add0/u9 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[20] [10:9]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[0] [10:9]),
.fci(\FM_HW/FM_Demodulation/add0/c9 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [10:9]),
.fco(\FM_HW/FM_Demodulation/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add0/u0|add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add0/u12|add0/u11 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[20] [12:11]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[0] [12:11]),
.fci(\FM_HW/FM_Demodulation/add0/c11 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [12:11]),
.fco(\FM_HW/FM_Demodulation/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add0/u0|add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add0/u14|add0/u13 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[20] [14:13]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[0] [14:13]),
.fci(\FM_HW/FM_Demodulation/add0/c13 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [14:13]),
.fco(\FM_HW/FM_Demodulation/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add0/u0|add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add0/u16|add0/u15 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[20] [16:15]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[0] [16:15]),
.fci(\FM_HW/FM_Demodulation/add0/c15 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [16:15]),
.fco(\FM_HW/FM_Demodulation/add0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add0/u0|add0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add0/u17_al_u712 (
.a({open_n7545,\FM_HW/FM_Demodulation/dmd_data_filter[20] [16]}),
.b({open_n7546,\FM_HW/FM_Demodulation/dmd_data_filter[0] [16]}),
.fci(\FM_HW/FM_Demodulation/add0/c17 ),
.f({open_n7565,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add0/u0|add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add0/u2|add0/u1 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[20] [2:1]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[0] [2:1]),
.fci(\FM_HW/FM_Demodulation/add0/c1 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [2:1]),
.fco(\FM_HW/FM_Demodulation/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add0/u0|add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add0/u4|add0/u3 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[20] [4:3]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[0] [4:3]),
.fci(\FM_HW/FM_Demodulation/add0/c3 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [4:3]),
.fco(\FM_HW/FM_Demodulation/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add0/u0|add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add0/u6|add0/u5 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[20] [6:5]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[0] [6:5]),
.fci(\FM_HW/FM_Demodulation/add0/c5 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [6:5]),
.fco(\FM_HW/FM_Demodulation/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add0/u0|add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add0/u8|add0/u7 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[20] [8:7]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[0] [8:7]),
.fci(\FM_HW/FM_Demodulation/add0/c7 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [8:7]),
.fco(\FM_HW/FM_Demodulation/add0/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add1/u0|add1/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add1/u0|add1/ucin (
.a({\FM_HW/FM_Demodulation/dmd_data_filter[19] [0],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter[1] [0],open_n7659}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [0],open_n7679}),
.fco(\FM_HW/FM_Demodulation/add1/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add1/u0|add1/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add1/u10|add1/u9 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[19] [10:9]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[1] [10:9]),
.fci(\FM_HW/FM_Demodulation/add1/c9 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [10:9]),
.fco(\FM_HW/FM_Demodulation/add1/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add1/u0|add1/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add1/u12|add1/u11 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[19] [12:11]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[1] [12:11]),
.fci(\FM_HW/FM_Demodulation/add1/c11 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [12:11]),
.fco(\FM_HW/FM_Demodulation/add1/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add1/u0|add1/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add1/u14|add1/u13 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[19] [14:13]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[1] [14:13]),
.fci(\FM_HW/FM_Demodulation/add1/c13 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [14:13]),
.fco(\FM_HW/FM_Demodulation/add1/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add1/u0|add1/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add1/u16|add1/u15 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[19] [16:15]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[1] [16:15]),
.fci(\FM_HW/FM_Demodulation/add1/c15 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [16:15]),
.fco(\FM_HW/FM_Demodulation/add1/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add1/u0|add1/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add1/u17_al_u713 (
.a({open_n7772,\FM_HW/FM_Demodulation/dmd_data_filter[19] [16]}),
.b({open_n7773,\FM_HW/FM_Demodulation/dmd_data_filter[1] [16]}),
.fci(\FM_HW/FM_Demodulation/add1/c17 ),
.f({open_n7792,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add1/u0|add1/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add1/u2|add1/u1 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[19] [2:1]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[1] [2:1]),
.fci(\FM_HW/FM_Demodulation/add1/c1 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [2:1]),
.fco(\FM_HW/FM_Demodulation/add1/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add1/u0|add1/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add1/u4|add1/u3 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[19] [4:3]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[1] [4:3]),
.fci(\FM_HW/FM_Demodulation/add1/c3 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [4:3]),
.fco(\FM_HW/FM_Demodulation/add1/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add1/u0|add1/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add1/u6|add1/u5 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[19] [6:5]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[1] [6:5]),
.fci(\FM_HW/FM_Demodulation/add1/c5 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [6:5]),
.fco(\FM_HW/FM_Demodulation/add1/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add1/u0|add1/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add1/u8|add1/u7 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[19] [8:7]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[1] [8:7]),
.fci(\FM_HW/FM_Demodulation/add1/c7 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [8:7]),
.fco(\FM_HW/FM_Demodulation/add1/c9 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add10/ucin_al_u611"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add10/u11_al_u614 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [12]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [15],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [13]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [12]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [15],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [13]}),
.fci(\FM_HW/FM_Demodulation/add10/c11 ),
.f({\FM_HW/FM_Demodulation/n3 [13],\FM_HW/FM_Demodulation/n3 [11]}),
.fco(\FM_HW/FM_Demodulation/add10/c15 ),
.fx({\FM_HW/FM_Demodulation/n3 [14],\FM_HW/FM_Demodulation/n3 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add10/ucin_al_u611"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add10/u15_al_u615 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [16]}),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [18:17]),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [16]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [17]}),
.fci(\FM_HW/FM_Demodulation/add10/c15 ),
.f({\FM_HW/FM_Demodulation/n3 [17],\FM_HW/FM_Demodulation/n3 [15]}),
.fco(\FM_HW/FM_Demodulation/add10/c19 ),
.fx({\FM_HW/FM_Demodulation/n3 [18],\FM_HW/FM_Demodulation/n3 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add10/ucin_al_u611"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add10/u19_al_u616 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [18]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [18]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [19]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [19]}),
.fci(\FM_HW/FM_Demodulation/add10/c19 ),
.f({\FM_HW/FM_Demodulation/n3 [21],\FM_HW/FM_Demodulation/n3 [19]}),
.fco(\FM_HW/FM_Demodulation/add10/c23 ),
.fx({\FM_HW/FM_Demodulation/n3 [22],\FM_HW/FM_Demodulation/n3 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add10/ucin_al_u611"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add10/u23_al_u617 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [18]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [18]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [19]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [19]}),
.fci(\FM_HW/FM_Demodulation/add10/c23 ),
.f({\FM_HW/FM_Demodulation/n3 [25],\FM_HW/FM_Demodulation/n3 [23]}),
.fx({\FM_HW/FM_Demodulation/n3 [26],\FM_HW/FM_Demodulation/n3 [24]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add10/ucin_al_u611"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add10/u3_al_u612 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [4]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [7],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [5]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [4]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [7],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [5]}),
.fci(\FM_HW/FM_Demodulation/add10/c3 ),
.f({\FM_HW/FM_Demodulation/n3 [5],\FM_HW/FM_Demodulation/n3 [3]}),
.fco(\FM_HW/FM_Demodulation/add10/c7 ),
.fx({\FM_HW/FM_Demodulation/n3 [6],\FM_HW/FM_Demodulation/n3 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add10/ucin_al_u611"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add10/u7_al_u613 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [8]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [11],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [9]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [8]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [11],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [9]}),
.fci(\FM_HW/FM_Demodulation/add10/c7 ),
.f({\FM_HW/FM_Demodulation/n3 [9],\FM_HW/FM_Demodulation/n3 [7]}),
.fco(\FM_HW/FM_Demodulation/add10/c11 ),
.fx({\FM_HW/FM_Demodulation/n3 [10],\FM_HW/FM_Demodulation/n3 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add10/ucin_al_u611"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/add10/ucin_al_u611 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [2],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [3],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [1]}),
.c(2'b00),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [2],1'b1}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [3],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [1]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[3] [6:5]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/n3 [1],open_n8008}),
.fco(\FM_HW/FM_Demodulation/add10/c3 ),
.fx({\FM_HW/FM_Demodulation/n3 [2],\FM_HW/FM_Demodulation/n3 [0]}),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[2] [6:5]));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add11/ucin_al_u603"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add11/u11_al_u606 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [13],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [11]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [12]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [13],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [11]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [12]}),
.fci(\FM_HW/FM_Demodulation/add11/c11 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [13],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [11]}),
.fco(\FM_HW/FM_Demodulation/add11/c15 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [14],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add11/ucin_al_u603"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add11/u15_al_u607 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [17],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [15]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [16]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [15]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [16]}),
.fci(\FM_HW/FM_Demodulation/add11/c15 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [15]}),
.fco(\FM_HW/FM_Demodulation/add11/c19 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [18],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add11/ucin_al_u603"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add11/u19_al_u608 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [20:19]),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [20],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [20]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [21],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [19]}),
.e(\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [21:20]),
.fci(\FM_HW/FM_Demodulation/add11/c19 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [21],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [19]}),
.fco(\FM_HW/FM_Demodulation/add11/c23 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [22],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add11/ucin_al_u603"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add11/u23_al_u609 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [20],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [20]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [20],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [20]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [21],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [21]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [21],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [21]}),
.fci(\FM_HW/FM_Demodulation/add11/c23 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [25],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [23]}),
.fco(\FM_HW/FM_Demodulation/add11/c27 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [26],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [24]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add11/ucin_al_u603"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add11/u27_al_u610 (
.a({open_n8081,\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [20]}),
.c(2'b00),
.d({open_n8086,\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [21]}),
.fci(\FM_HW/FM_Demodulation/add11/c27 ),
.f({open_n8103,\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [27]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add11/ucin_al_u603"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add11/u3_al_u604 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [5],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [3]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [4]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [5],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [3]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [4]}),
.fci(\FM_HW/FM_Demodulation/add11/c3 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [5],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [3]}),
.fco(\FM_HW/FM_Demodulation/add11/c7 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [6],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add11/ucin_al_u603"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add11/u7_al_u605 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [9],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [7]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [8]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [9],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [7]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [8]}),
.fci(\FM_HW/FM_Demodulation/add11/c7 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [9],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [7]}),
.fco(\FM_HW/FM_Demodulation/add11/c11 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [10],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add11/ucin_al_u603"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add11/ucin_al_u603 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [2],\FM_HW/FM_Demodulation/dmd_data_filter_multi_2_18 [0]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [2],\FM_HW/FM_Demodulation/dmd_data_filter_multi_3_17 [0]}),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [1],open_n8162}),
.fco(\FM_HW/FM_Demodulation/add11/c3 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [2],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [0]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add12/ucin_al_u618"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add12/u11_al_u621 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [12]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [15],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [13]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [12]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [15],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [13]}),
.fci(\FM_HW/FM_Demodulation/add12/c11 ),
.f({\FM_HW/FM_Demodulation/n4 [13],\FM_HW/FM_Demodulation/n4 [11]}),
.fco(\FM_HW/FM_Demodulation/add12/c15 ),
.fx({\FM_HW/FM_Demodulation/n4 [14],\FM_HW/FM_Demodulation/n4 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add12/ucin_al_u618"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add12/u15_al_u622 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [16]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [17]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [16]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [17]}),
.fci(\FM_HW/FM_Demodulation/add12/c15 ),
.f({\FM_HW/FM_Demodulation/n4 [17],\FM_HW/FM_Demodulation/n4 [15]}),
.fco(\FM_HW/FM_Demodulation/add12/c19 ),
.fx({\FM_HW/FM_Demodulation/n4 [18],\FM_HW/FM_Demodulation/n4 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add12/ucin_al_u618"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add12/u19_al_u623 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [21:20]),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [21],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [21]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [20]}),
.e(\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [22:21]),
.fci(\FM_HW/FM_Demodulation/add12/c19 ),
.f({\FM_HW/FM_Demodulation/n4 [21],\FM_HW/FM_Demodulation/n4 [19]}),
.fco(\FM_HW/FM_Demodulation/add12/c23 ),
.fx({\FM_HW/FM_Demodulation/n4 [22],\FM_HW/FM_Demodulation/n4 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add12/ucin_al_u618"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add12/u23_al_u624 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [21],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [21]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [21],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [21]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [22]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [22]}),
.fci(\FM_HW/FM_Demodulation/add12/c23 ),
.f({\FM_HW/FM_Demodulation/n4 [25],\FM_HW/FM_Demodulation/n4 [23]}),
.fx({\FM_HW/FM_Demodulation/n4 [26],\FM_HW/FM_Demodulation/n4 [24]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add12/ucin_al_u618"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add12/u3_al_u619 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [4]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [7],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [5]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [4]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [7],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [5]}),
.fci(\FM_HW/FM_Demodulation/add12/c3 ),
.f({\FM_HW/FM_Demodulation/n4 [5],\FM_HW/FM_Demodulation/n4 [3]}),
.fco(\FM_HW/FM_Demodulation/add12/c7 ),
.fx({\FM_HW/FM_Demodulation/n4 [6],\FM_HW/FM_Demodulation/n4 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add12/ucin_al_u618"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add12/u7_al_u620 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [8]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [11],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [9]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [8]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [11],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [9]}),
.fci(\FM_HW/FM_Demodulation/add12/c7 ),
.f({\FM_HW/FM_Demodulation/n4 [9],\FM_HW/FM_Demodulation/n4 [7]}),
.fco(\FM_HW/FM_Demodulation/add12/c11 ),
.fx({\FM_HW/FM_Demodulation/n4 [10],\FM_HW/FM_Demodulation/n4 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add12/ucin_al_u618"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add12/ucin_al_u618 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [2],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [3],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [1]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [2],1'b1}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [3],\FM_HW/FM_Demodulation/dmd_data_filter_multi_5_15 [1]}),
.f({\FM_HW/FM_Demodulation/n4 [1],open_n8291}),
.fco(\FM_HW/FM_Demodulation/add12/c3 ),
.fx({\FM_HW/FM_Demodulation/n4 [2],\FM_HW/FM_Demodulation/n4 [0]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add13/ucin_al_u639"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add13/u11_al_u642 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [15]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [16]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [15]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [16]}),
.fci(\FM_HW/FM_Demodulation/add13/c11 ),
.f({\FM_HW/FM_Demodulation/n5 [13],\FM_HW/FM_Demodulation/n5 [11]}),
.fco(\FM_HW/FM_Demodulation/add13/c15 ),
.fx({\FM_HW/FM_Demodulation/n5 [14],\FM_HW/FM_Demodulation/n5 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add13/ucin_al_u639"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add13/u15_al_u643 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [21],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [19]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [20]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [21],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [19]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [20]}),
.fci(\FM_HW/FM_Demodulation/add13/c15 ),
.f({\FM_HW/FM_Demodulation/n5 [17],\FM_HW/FM_Demodulation/n5 [15]}),
.fco(\FM_HW/FM_Demodulation/add13/c19 ),
.fx({\FM_HW/FM_Demodulation/n5 [18],\FM_HW/FM_Demodulation/n5 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add13/ucin_al_u639"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add13/u19_al_u644 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [22]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [22]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [22]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [22]}),
.fci(\FM_HW/FM_Demodulation/add13/c19 ),
.f({\FM_HW/FM_Demodulation/n5 [21],\FM_HW/FM_Demodulation/n5 [19]}),
.fco(\FM_HW/FM_Demodulation/add13/c23 ),
.fx({\FM_HW/FM_Demodulation/n5 [22],\FM_HW/FM_Demodulation/n5 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add13/ucin_al_u639"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add13/u23_al_u645 (
.a({open_n8348,\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [22]}),
.c(2'b00),
.d({open_n8353,\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [22]}),
.fci(\FM_HW/FM_Demodulation/add13/c23 ),
.f({open_n8370,\FM_HW/FM_Demodulation/n5 [23]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add13/ucin_al_u639"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add13/u3_al_u640 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [9],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [7]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [8]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [9],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [7]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [8]}),
.fci(\FM_HW/FM_Demodulation/add13/c3 ),
.f({\FM_HW/FM_Demodulation/n5 [5],\FM_HW/FM_Demodulation/n5 [3]}),
.fco(\FM_HW/FM_Demodulation/add13/c7 ),
.fx({\FM_HW/FM_Demodulation/n5 [6],\FM_HW/FM_Demodulation/n5 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add13/ucin_al_u639"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add13/u7_al_u641 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [13],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [11]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [12]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [13],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [11]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [12]}),
.fci(\FM_HW/FM_Demodulation/add13/c7 ),
.f({\FM_HW/FM_Demodulation/n5 [9],\FM_HW/FM_Demodulation/n5 [7]}),
.fco(\FM_HW/FM_Demodulation/add13/c11 ),
.fx({\FM_HW/FM_Demodulation/n5 [10],\FM_HW/FM_Demodulation/n5 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add13/ucin_al_u639"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/add13/ucin_al_u639 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [5],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [4]}),
.c(2'b00),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [5],1'b1}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [4]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[5] [10:9]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/n5 [1],open_n8425}),
.fco(\FM_HW/FM_Demodulation/add13/c3 ),
.fx({\FM_HW/FM_Demodulation/n5 [2],\FM_HW/FM_Demodulation/n5 [0]}),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[4] [10:9]));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add14/ucin_al_u625"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add14/u11_al_u628 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [12]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [15],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [13]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [12]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [15],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [13]}),
.fci(\FM_HW/FM_Demodulation/add14/c11 ),
.f({\FM_HW/FM_Demodulation/n7 [13],\FM_HW/FM_Demodulation/n7 [11]}),
.fco(\FM_HW/FM_Demodulation/add14/c15 ),
.fx({\FM_HW/FM_Demodulation/n7 [14],\FM_HW/FM_Demodulation/n7 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add14/ucin_al_u625"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add14/u15_al_u629 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [16]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [17]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [16]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [17]}),
.fci(\FM_HW/FM_Demodulation/add14/c15 ),
.f({\FM_HW/FM_Demodulation/n7 [17],\FM_HW/FM_Demodulation/n7 [15]}),
.fco(\FM_HW/FM_Demodulation/add14/c19 ),
.fx({\FM_HW/FM_Demodulation/n7 [18],\FM_HW/FM_Demodulation/n7 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add14/ucin_al_u625"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add14/u19_al_u630 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [20]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [23],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [21]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [22],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [20]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [23],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [21]}),
.fci(\FM_HW/FM_Demodulation/add14/c19 ),
.f({\FM_HW/FM_Demodulation/n7 [21],\FM_HW/FM_Demodulation/n7 [19]}),
.fco(\FM_HW/FM_Demodulation/add14/c23 ),
.fx({\FM_HW/FM_Demodulation/n7 [22],\FM_HW/FM_Demodulation/n7 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add14/ucin_al_u625"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add14/u23_al_u631 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [23],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [23]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [23],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [23]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [23],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [23]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [23],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [23]}),
.fci(\FM_HW/FM_Demodulation/add14/c23 ),
.f({\FM_HW/FM_Demodulation/n7 [25],\FM_HW/FM_Demodulation/n7 [23]}),
.fx({\FM_HW/FM_Demodulation/n7 [26],\FM_HW/FM_Demodulation/n7 [24]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add14/ucin_al_u625"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add14/u3_al_u626 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [4]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [7],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [5]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [4]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [7],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [5]}),
.fci(\FM_HW/FM_Demodulation/add14/c3 ),
.f({\FM_HW/FM_Demodulation/n7 [5],\FM_HW/FM_Demodulation/n7 [3]}),
.fco(\FM_HW/FM_Demodulation/add14/c7 ),
.fx({\FM_HW/FM_Demodulation/n7 [6],\FM_HW/FM_Demodulation/n7 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add14/ucin_al_u625"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add14/u7_al_u627 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [8]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [11],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [9]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [8]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [11],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [9]}),
.fci(\FM_HW/FM_Demodulation/add14/c7 ),
.f({\FM_HW/FM_Demodulation/n7 [9],\FM_HW/FM_Demodulation/n7 [7]}),
.fco(\FM_HW/FM_Demodulation/add14/c11 ),
.fx({\FM_HW/FM_Demodulation/n7 [10],\FM_HW/FM_Demodulation/n7 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add14/ucin_al_u625"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add14/ucin_al_u625 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [2],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [3],\FM_HW/FM_Demodulation/dmd_data_filter_multi_8_12 [1]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [2],1'b1}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [3],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [1]}),
.f({\FM_HW/FM_Demodulation/n7 [1],open_n8552}),
.fco(\FM_HW/FM_Demodulation/add14/c3 ),
.fx({\FM_HW/FM_Demodulation/n7 [2],\FM_HW/FM_Demodulation/n7 [0]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add15/ucin_al_u587"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add15/u11_al_u590 (
.a({\FM_HW/FM_Demodulation/n3 [12],\FM_HW/FM_Demodulation/n3 [10]}),
.b({\FM_HW/FM_Demodulation/n3 [13],\FM_HW/FM_Demodulation/n3 [11]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [13],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [11]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [14],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [12]}),
.fci(\FM_HW/FM_Demodulation/add15/c11 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [13],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [11]}),
.fco(\FM_HW/FM_Demodulation/add15/c15 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [14],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add15/ucin_al_u587"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add15/u15_al_u591 (
.a({\FM_HW/FM_Demodulation/n3 [16],\FM_HW/FM_Demodulation/n3 [14]}),
.b({\FM_HW/FM_Demodulation/n3 [17],\FM_HW/FM_Demodulation/n3 [15]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [15]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [18],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [16]}),
.fci(\FM_HW/FM_Demodulation/add15/c15 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [15]}),
.fco(\FM_HW/FM_Demodulation/add15/c19 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [18],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add15/ucin_al_u587"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add15/u19_al_u592 (
.a({\FM_HW/FM_Demodulation/n3 [20],\FM_HW/FM_Demodulation/n3 [18]}),
.b({\FM_HW/FM_Demodulation/n3 [21],\FM_HW/FM_Demodulation/n3 [19]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [21],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [19]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [22],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [20]}),
.fci(\FM_HW/FM_Demodulation/add15/c19 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [21],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [19]}),
.fco(\FM_HW/FM_Demodulation/add15/c23 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [22],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add15/ucin_al_u587"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add15/u23_al_u593 (
.a({\FM_HW/FM_Demodulation/n3 [24],\FM_HW/FM_Demodulation/n3 [22]}),
.b({\FM_HW/FM_Demodulation/n3 [25],\FM_HW/FM_Demodulation/n3 [23]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [25],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [23]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [26],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [24]}),
.fci(\FM_HW/FM_Demodulation/add15/c23 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [25],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [23]}),
.fco(\FM_HW/FM_Demodulation/add15/c27 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [26],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [24]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add15/ucin_al_u587"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add15/u27_al_u594 (
.a({open_n8627,\FM_HW/FM_Demodulation/n3 [26]}),
.b({open_n8628,\FM_HW/FM_Demodulation/n3 [26]}),
.c(2'b00),
.d({open_n8631,\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [27]}),
.e({open_n8632,\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [27]}),
.fci(\FM_HW/FM_Demodulation/add15/c27 ),
.f({open_n8647,\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [27]}),
.fx({open_n8649,\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [28]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add15/ucin_al_u587"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add15/u3_al_u588 (
.a({\FM_HW/FM_Demodulation/n3 [4],\FM_HW/FM_Demodulation/n3 [2]}),
.b({\FM_HW/FM_Demodulation/n3 [5],\FM_HW/FM_Demodulation/n3 [3]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [5],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [3]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [6],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [4]}),
.fci(\FM_HW/FM_Demodulation/add15/c3 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [5],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [3]}),
.fco(\FM_HW/FM_Demodulation/add15/c7 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [6],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add15/ucin_al_u587"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add15/u7_al_u589 (
.a({\FM_HW/FM_Demodulation/n3 [8],\FM_HW/FM_Demodulation/n3 [6]}),
.b({\FM_HW/FM_Demodulation/n3 [9],\FM_HW/FM_Demodulation/n3 [7]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [9],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [7]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [10],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [8]}),
.fci(\FM_HW/FM_Demodulation/add15/c7 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [9],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [7]}),
.fco(\FM_HW/FM_Demodulation/add15/c11 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [10],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add15/ucin_al_u587"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/add15/ucin_al_u587 (
.a({\FM_HW/FM_Demodulation/n3 [0],1'b0}),
.b({\FM_HW/FM_Demodulation/n3 [1],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [0]}),
.c(2'b00),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [2],\FM_HW/FM_Demodulation/dmd_data_filtered_add_2_18_3_17 [0]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[4] [15:14]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [1],open_n8701}),
.fco(\FM_HW/FM_Demodulation/add15/c3 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [2],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [0]}),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[3] [15:14]));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add16/ucin_al_u595"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add16/u11_al_u598 (
.a({\FM_HW/FM_Demodulation/n4 [12],\FM_HW/FM_Demodulation/n4 [10]}),
.b({\FM_HW/FM_Demodulation/n4 [13],\FM_HW/FM_Demodulation/n4 [11]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n5 [9],\FM_HW/FM_Demodulation/n5 [7]}),
.e({\FM_HW/FM_Demodulation/n5 [10],\FM_HW/FM_Demodulation/n5 [8]}),
.fci(\FM_HW/FM_Demodulation/add16/c11 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [13],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [11]}),
.fco(\FM_HW/FM_Demodulation/add16/c15 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [14],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add16/ucin_al_u595"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add16/u15_al_u599 (
.a({\FM_HW/FM_Demodulation/n4 [16],\FM_HW/FM_Demodulation/n4 [14]}),
.b({\FM_HW/FM_Demodulation/n4 [17],\FM_HW/FM_Demodulation/n4 [15]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n5 [13],\FM_HW/FM_Demodulation/n5 [11]}),
.e({\FM_HW/FM_Demodulation/n5 [14],\FM_HW/FM_Demodulation/n5 [12]}),
.fci(\FM_HW/FM_Demodulation/add16/c15 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [15]}),
.fco(\FM_HW/FM_Demodulation/add16/c19 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [18],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add16/ucin_al_u595"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add16/u19_al_u600 (
.a({\FM_HW/FM_Demodulation/n4 [20],\FM_HW/FM_Demodulation/n4 [18]}),
.b({\FM_HW/FM_Demodulation/n4 [21],\FM_HW/FM_Demodulation/n4 [19]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n5 [17],\FM_HW/FM_Demodulation/n5 [15]}),
.e({\FM_HW/FM_Demodulation/n5 [18],\FM_HW/FM_Demodulation/n5 [16]}),
.fci(\FM_HW/FM_Demodulation/add16/c19 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [21],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [19]}),
.fco(\FM_HW/FM_Demodulation/add16/c23 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [22],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add16/ucin_al_u595"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add16/u23_al_u601 (
.a({\FM_HW/FM_Demodulation/n4 [24],\FM_HW/FM_Demodulation/n4 [22]}),
.b({\FM_HW/FM_Demodulation/n4 [25],\FM_HW/FM_Demodulation/n4 [23]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n5 [21],\FM_HW/FM_Demodulation/n5 [19]}),
.e({\FM_HW/FM_Demodulation/n5 [22],\FM_HW/FM_Demodulation/n5 [20]}),
.fci(\FM_HW/FM_Demodulation/add16/c23 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [25],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [23]}),
.fco(\FM_HW/FM_Demodulation/add16/c27 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [26],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [24]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add16/ucin_al_u595"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add16/u27_al_u602 (
.a({open_n8774,\FM_HW/FM_Demodulation/n4 [26]}),
.b({open_n8775,\FM_HW/FM_Demodulation/n4 [26]}),
.c(2'b00),
.d({open_n8778,\FM_HW/FM_Demodulation/n5 [23]}),
.e({open_n8779,\FM_HW/FM_Demodulation/n5 [23]}),
.fci(\FM_HW/FM_Demodulation/add16/c27 ),
.f({open_n8794,\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [27]}),
.fx({open_n8796,\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [28]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add16/ucin_al_u595"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add16/u3_al_u596 (
.a({\FM_HW/FM_Demodulation/n4 [4],\FM_HW/FM_Demodulation/n4 [2]}),
.b({\FM_HW/FM_Demodulation/n4 [5],\FM_HW/FM_Demodulation/n4 [3]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n5 [1],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [3]}),
.e({\FM_HW/FM_Demodulation/n5 [2],\FM_HW/FM_Demodulation/n5 [0]}),
.fci(\FM_HW/FM_Demodulation/add16/c3 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [5],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [3]}),
.fco(\FM_HW/FM_Demodulation/add16/c7 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [6],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add16/ucin_al_u595"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add16/u7_al_u597 (
.a({\FM_HW/FM_Demodulation/n4 [8],\FM_HW/FM_Demodulation/n4 [6]}),
.b({\FM_HW/FM_Demodulation/n4 [9],\FM_HW/FM_Demodulation/n4 [7]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n5 [5],\FM_HW/FM_Demodulation/n5 [3]}),
.e({\FM_HW/FM_Demodulation/n5 [6],\FM_HW/FM_Demodulation/n5 [4]}),
.fci(\FM_HW/FM_Demodulation/add16/c7 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [9],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [7]}),
.fco(\FM_HW/FM_Demodulation/add16/c11 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [10],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add16/ucin_al_u595"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/add16/ucin_al_u595 (
.a({\FM_HW/FM_Demodulation/n4 [0],1'b0}),
.b({\FM_HW/FM_Demodulation/n4 [1],\FM_HW/FM_Demodulation/dmd_data_filter_multi_4_16 [0]}),
.c(2'b00),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [2],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [0]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[4] [0],\FM_HW/FM_Demodulation/dmd_data_filter[17] [0]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [1],open_n8848}),
.fco(\FM_HW/FM_Demodulation/add16/c3 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [2],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [0]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[3] [0],\FM_HW/FM_Demodulation/dmd_data_filter[16] [0]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add17/ucin_al_u632"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add17/u11_al_u635 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [15],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [13]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [16],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [14]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n7 [14],\FM_HW/FM_Demodulation/n7 [12]}),
.e({\FM_HW/FM_Demodulation/n7 [15],\FM_HW/FM_Demodulation/n7 [13]}),
.fci(\FM_HW/FM_Demodulation/add17/c11 ),
.f({\FM_HW/FM_Demodulation/n8 [13],\FM_HW/FM_Demodulation/n8 [11]}),
.fco(\FM_HW/FM_Demodulation/add17/c15 ),
.fx({\FM_HW/FM_Demodulation/n8 [14],\FM_HW/FM_Demodulation/n8 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add17/ucin_al_u632"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add17/u15_al_u636 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [17]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [20],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [18]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n7 [18],\FM_HW/FM_Demodulation/n7 [16]}),
.e({\FM_HW/FM_Demodulation/n7 [19],\FM_HW/FM_Demodulation/n7 [17]}),
.fci(\FM_HW/FM_Demodulation/add17/c15 ),
.f({\FM_HW/FM_Demodulation/n8 [17],\FM_HW/FM_Demodulation/n8 [15]}),
.fco(\FM_HW/FM_Demodulation/add17/c19 ),
.fx({\FM_HW/FM_Demodulation/n8 [18],\FM_HW/FM_Demodulation/n8 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add17/ucin_al_u632"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add17/u19_al_u637 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [23],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [21]}),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [23:22]),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n7 [22],\FM_HW/FM_Demodulation/n7 [20]}),
.e({\FM_HW/FM_Demodulation/n7 [23],\FM_HW/FM_Demodulation/n7 [21]}),
.fci(\FM_HW/FM_Demodulation/add17/c19 ),
.f({\FM_HW/FM_Demodulation/n8 [21],\FM_HW/FM_Demodulation/n8 [19]}),
.fco(\FM_HW/FM_Demodulation/add17/c23 ),
.fx({\FM_HW/FM_Demodulation/n8 [22],\FM_HW/FM_Demodulation/n8 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add17/ucin_al_u632"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add17/u23_al_u638 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [23],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [23]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [23],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [23]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n7 [26],\FM_HW/FM_Demodulation/n7 [24]}),
.e(\FM_HW/FM_Demodulation/n7 [26:25]),
.fci(\FM_HW/FM_Demodulation/add17/c23 ),
.f({\FM_HW/FM_Demodulation/n8 [25],\FM_HW/FM_Demodulation/n8 [23]}),
.fx({\FM_HW/FM_Demodulation/n8 [26],\FM_HW/FM_Demodulation/n8 [24]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add17/ucin_al_u632"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add17/u3_al_u633 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [7],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [5]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [8],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [6]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n7 [6],\FM_HW/FM_Demodulation/n7 [4]}),
.e({\FM_HW/FM_Demodulation/n7 [7],\FM_HW/FM_Demodulation/n7 [5]}),
.fci(\FM_HW/FM_Demodulation/add17/c3 ),
.f({\FM_HW/FM_Demodulation/n8 [5],\FM_HW/FM_Demodulation/n8 [3]}),
.fco(\FM_HW/FM_Demodulation/add17/c7 ),
.fx({\FM_HW/FM_Demodulation/n8 [6],\FM_HW/FM_Demodulation/n8 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add17/ucin_al_u632"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add17/u7_al_u634 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [11],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [9]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [12],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [10]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n7 [10],\FM_HW/FM_Demodulation/n7 [8]}),
.e({\FM_HW/FM_Demodulation/n7 [11],\FM_HW/FM_Demodulation/n7 [9]}),
.fci(\FM_HW/FM_Demodulation/add17/c7 ),
.f({\FM_HW/FM_Demodulation/n8 [9],\FM_HW/FM_Demodulation/n8 [7]}),
.fco(\FM_HW/FM_Demodulation/add17/c11 ),
.fx({\FM_HW/FM_Demodulation/n8 [10],\FM_HW/FM_Demodulation/n8 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add17/ucin_al_u632"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/add17/ucin_al_u632 (
.a({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [3],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [4],\FM_HW/FM_Demodulation/dmd_data_filter_multi_10 [2]}),
.c(2'b00),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d({\FM_HW/FM_Demodulation/n7 [2],1'b1}),
.e({\FM_HW/FM_Demodulation/n7 [3],\FM_HW/FM_Demodulation/n7 [1]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filtered_temp [16:15]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/n8 [1],open_n8971}),
.fco(\FM_HW/FM_Demodulation/add17/c3 ),
.fx({\FM_HW/FM_Demodulation/n8 [2],\FM_HW/FM_Demodulation/n8 [0]}),
.q(\FM_HW/demodulated_signal_downsample [6:5]));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add18/ucin_al_u579"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add18/u11_al_u582 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [13],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [11]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [14],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [12]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [13],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [11]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [14],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [12]}),
.fci(\FM_HW/FM_Demodulation/add18/c11 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add [13],\FM_HW/FM_Demodulation/dmd_data_filtered_add [11]}),
.fco(\FM_HW/FM_Demodulation/add18/c15 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add [14],\FM_HW/FM_Demodulation/dmd_data_filtered_add [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add18/ucin_al_u579"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add18/u15_al_u583 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [17],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [15]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [18],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [16]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [15]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [18],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [16]}),
.fci(\FM_HW/FM_Demodulation/add18/c15 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add [17],\FM_HW/FM_Demodulation/dmd_data_filtered_add [15]}),
.fco(\FM_HW/FM_Demodulation/add18/c19 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add [18],\FM_HW/FM_Demodulation/dmd_data_filtered_add [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add18/ucin_al_u579"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add18/u19_al_u584 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [21],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [19]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [22],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [20]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [21],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [19]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [22],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [20]}),
.fci(\FM_HW/FM_Demodulation/add18/c19 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add [21],\FM_HW/FM_Demodulation/dmd_data_filtered_add [19]}),
.fco(\FM_HW/FM_Demodulation/add18/c23 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add [22],\FM_HW/FM_Demodulation/dmd_data_filtered_add [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add18/ucin_al_u579"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add18/u23_al_u585 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [25],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [23]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [26],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [24]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [25],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [23]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [26],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [24]}),
.fci(\FM_HW/FM_Demodulation/add18/c23 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add [25],\FM_HW/FM_Demodulation/dmd_data_filtered_add [23]}),
.fco(\FM_HW/FM_Demodulation/add18/c27 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add [26],\FM_HW/FM_Demodulation/dmd_data_filtered_add [24]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add18/ucin_al_u579"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add18/u27_al_u586 (
.a(\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [28:27]),
.b({open_n9044,\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [28]}),
.c(2'b00),
.d(\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [28:27]),
.e({open_n9047,\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [28]}),
.fci(\FM_HW/FM_Demodulation/add18/c27 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add [29],\FM_HW/FM_Demodulation/dmd_data_filtered_add [27]}),
.fx({open_n9063,\FM_HW/FM_Demodulation/dmd_data_filtered_add [28]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add18/ucin_al_u579"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add18/u3_al_u580 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [5],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [3]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [6],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [4]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [5],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [3]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [6],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [4]}),
.fci(\FM_HW/FM_Demodulation/add18/c3 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add [5],\FM_HW/FM_Demodulation/dmd_data_filtered_add [3]}),
.fco(\FM_HW/FM_Demodulation/add18/c7 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add [6],\FM_HW/FM_Demodulation/dmd_data_filtered_add [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add18/ucin_al_u579"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add18/u7_al_u581 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [9],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [7]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [10],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [8]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [9],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [7]}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [10],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [8]}),
.fci(\FM_HW/FM_Demodulation/add18/c7 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add [9],\FM_HW/FM_Demodulation/dmd_data_filtered_add [7]}),
.fco(\FM_HW/FM_Demodulation/add18/c11 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add [10],\FM_HW/FM_Demodulation/dmd_data_filtered_add [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add18/ucin_al_u579"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/add18/ucin_al_u579 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [2],\FM_HW/FM_Demodulation/dmd_data_filtered_add_0_20_1_19_2_18_3_17 [0]}),
.c(2'b00),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [2],\FM_HW/FM_Demodulation/dmd_data_filtered_add_4_16_5_15_6_14_7_13 [0]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[4] [6],\FM_HW/FM_Demodulation/dmd_data_filter[4] [4]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_add [1],open_n9115}),
.fco(\FM_HW/FM_Demodulation/add18/c3 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_add [2],\FM_HW/FM_Demodulation/dmd_data_filtered_add [0]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[3] [6],\FM_HW/FM_Demodulation/dmd_data_filter[3] [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add19/ucin_al_u571"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add19/u11_al_u574 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add [13],\FM_HW/FM_Demodulation/dmd_data_filtered_add [11]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add [14],\FM_HW/FM_Demodulation/dmd_data_filtered_add [12]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n8 [11],\FM_HW/FM_Demodulation/n8 [9]}),
.e({\FM_HW/FM_Demodulation/n8 [12],\FM_HW/FM_Demodulation/n8 [10]}),
.fci(\FM_HW/FM_Demodulation/add19/c11 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [13],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [11]}),
.fco(\FM_HW/FM_Demodulation/add19/c15 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [14],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add19/ucin_al_u571"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add19/u15_al_u575 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add [17],\FM_HW/FM_Demodulation/dmd_data_filtered_add [15]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add [18],\FM_HW/FM_Demodulation/dmd_data_filtered_add [16]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n8 [15],\FM_HW/FM_Demodulation/n8 [13]}),
.e({\FM_HW/FM_Demodulation/n8 [16],\FM_HW/FM_Demodulation/n8 [14]}),
.fci(\FM_HW/FM_Demodulation/add19/c15 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [17],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [15]}),
.fco(\FM_HW/FM_Demodulation/add19/c19 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [18],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add19/ucin_al_u571"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add19/u19_al_u576 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add [21],\FM_HW/FM_Demodulation/dmd_data_filtered_add [19]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add [22],\FM_HW/FM_Demodulation/dmd_data_filtered_add [20]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n8 [19],\FM_HW/FM_Demodulation/n8 [17]}),
.e({\FM_HW/FM_Demodulation/n8 [20],\FM_HW/FM_Demodulation/n8 [18]}),
.fci(\FM_HW/FM_Demodulation/add19/c19 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [21],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [19]}),
.fco(\FM_HW/FM_Demodulation/add19/c23 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [22],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add19/ucin_al_u571"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add19/u23_al_u577 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add [25],\FM_HW/FM_Demodulation/dmd_data_filtered_add [23]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add [26],\FM_HW/FM_Demodulation/dmd_data_filtered_add [24]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n8 [23],\FM_HW/FM_Demodulation/n8 [21]}),
.e({\FM_HW/FM_Demodulation/n8 [24],\FM_HW/FM_Demodulation/n8 [22]}),
.fci(\FM_HW/FM_Demodulation/add19/c23 ),
.fco(\FM_HW/FM_Demodulation/add19/c27 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add19/ucin_al_u571"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add19/u27_al_u578 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add [29],\FM_HW/FM_Demodulation/dmd_data_filtered_add [27]}),
.b(\FM_HW/FM_Demodulation/dmd_data_filtered_add [29:28]),
.c(2'b00),
.d(\FM_HW/FM_Demodulation/n8 [26:25]),
.e({\FM_HW/FM_Demodulation/n8 [26],\FM_HW/FM_Demodulation/n8 [26]}),
.fci(\FM_HW/FM_Demodulation/add19/c27 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [30],open_n9211}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add19/ucin_al_u571"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add19/u3_al_u572 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add [5],\FM_HW/FM_Demodulation/dmd_data_filtered_add [3]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add [6],\FM_HW/FM_Demodulation/dmd_data_filtered_add [4]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n8 [3],\FM_HW/FM_Demodulation/n8 [1]}),
.e({\FM_HW/FM_Demodulation/n8 [4],\FM_HW/FM_Demodulation/n8 [2]}),
.fci(\FM_HW/FM_Demodulation/add19/c3 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [5],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [3]}),
.fco(\FM_HW/FM_Demodulation/add19/c7 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [6],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add19/ucin_al_u571"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add19/u7_al_u573 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add [9],\FM_HW/FM_Demodulation/dmd_data_filtered_add [7]}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add [10],\FM_HW/FM_Demodulation/dmd_data_filtered_add [8]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n8 [7],\FM_HW/FM_Demodulation/n8 [5]}),
.e({\FM_HW/FM_Demodulation/n8 [8],\FM_HW/FM_Demodulation/n8 [6]}),
.fci(\FM_HW/FM_Demodulation/add19/c7 ),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [9],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [7]}),
.fco(\FM_HW/FM_Demodulation/add19/c11 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [10],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add19/ucin_al_u571"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/add19/ucin_al_u571 (
.a({\FM_HW/FM_Demodulation/dmd_data_filtered_add [1],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filtered_add [2],\FM_HW/FM_Demodulation/dmd_data_filtered_add [0]}),
.c(2'b00),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d({\FM_HW/FM_Demodulation/n7 [0],1'b1}),
.e({\FM_HW/FM_Demodulation/n8 [0],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [0]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [7],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [4]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [1],open_n9263}),
.fco(\FM_HW/FM_Demodulation/add19/c3 ),
.fx({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [2],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [0]}),
.q({\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [7],\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [4]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add2/u0|add2/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/add2/u0|add2/ucin (
.a({\FM_HW/FM_Demodulation/dmd_data_filter[18] [0],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter[2] [0],open_n9264}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[19] [2:1]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [0],open_n9280}),
.fco(\FM_HW/FM_Demodulation/add2/c1 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[18] [2:1]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add2/u0|add2/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add2/u10|add2/u9 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[18] [10:9]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[2] [10:9]),
.fci(\FM_HW/FM_Demodulation/add2/c9 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [10:9]),
.fco(\FM_HW/FM_Demodulation/add2/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add2/u0|add2/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add2/u12|add2/u11 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[18] [12:11]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[2] [12:11]),
.fci(\FM_HW/FM_Demodulation/add2/c11 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [12:11]),
.fco(\FM_HW/FM_Demodulation/add2/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add2/u0|add2/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add2/u14|add2/u13 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[18] [14:13]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[2] [14:13]),
.fci(\FM_HW/FM_Demodulation/add2/c13 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [14:13]),
.fco(\FM_HW/FM_Demodulation/add2/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add2/u0|add2/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add2/u16|add2/u15 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[18] [16:15]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[2] [16:15]),
.fci(\FM_HW/FM_Demodulation/add2/c15 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [16:15]),
.fco(\FM_HW/FM_Demodulation/add2/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add2/u0|add2/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add2/u17_al_u714 (
.a({open_n9371,\FM_HW/FM_Demodulation/dmd_data_filter[18] [16]}),
.b({open_n9372,\FM_HW/FM_Demodulation/dmd_data_filter[2] [16]}),
.fci(\FM_HW/FM_Demodulation/add2/c17 ),
.f({open_n9391,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add2/u0|add2/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add2/u2|add2/u1 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[18] [2:1]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[2] [2:1]),
.fci(\FM_HW/FM_Demodulation/add2/c1 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [2:1]),
.fco(\FM_HW/FM_Demodulation/add2/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add2/u0|add2/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add2/u4|add2/u3 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[18] [4:3]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[2] [4:3]),
.fci(\FM_HW/FM_Demodulation/add2/c3 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [4:3]),
.fco(\FM_HW/FM_Demodulation/add2/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add2/u0|add2/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add2/u6|add2/u5 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[18] [6:5]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[2] [6:5]),
.fci(\FM_HW/FM_Demodulation/add2/c5 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [6:5]),
.fco(\FM_HW/FM_Demodulation/add2/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add2/u0|add2/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add2/u8|add2/u7 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[18] [8:7]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[2] [8:7]),
.fci(\FM_HW/FM_Demodulation/add2/c7 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [8:7]),
.fco(\FM_HW/FM_Demodulation/add2/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add3/u0|add3/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/add3/u0|add3/ucin (
.a({\FM_HW/FM_Demodulation/dmd_data_filter[17] [0],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter[3] [0],open_n9485}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[5] [15],\FM_HW/FM_Demodulation/dmd_data_filter[4] [10]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [0],open_n9501}),
.fco(\FM_HW/FM_Demodulation/add3/c1 ),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[4] [15],\FM_HW/FM_Demodulation/dmd_data_filter[3] [10]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add3/u0|add3/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add3/u10|add3/u9 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[17] [10:9]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[3] [10:9]),
.fci(\FM_HW/FM_Demodulation/add3/c9 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [10:9]),
.fco(\FM_HW/FM_Demodulation/add3/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add3/u0|add3/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add3/u12|add3/u11 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[17] [12:11]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[3] [12:11]),
.fci(\FM_HW/FM_Demodulation/add3/c11 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [12:11]),
.fco(\FM_HW/FM_Demodulation/add3/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add3/u0|add3/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add3/u14|add3/u13 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[17] [14:13]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[3] [14:13]),
.fci(\FM_HW/FM_Demodulation/add3/c13 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [14:13]),
.fco(\FM_HW/FM_Demodulation/add3/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add3/u0|add3/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add3/u16|add3/u15 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[17] [16:15]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[3] [16:15]),
.fci(\FM_HW/FM_Demodulation/add3/c15 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [16:15]),
.fco(\FM_HW/FM_Demodulation/add3/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add3/u0|add3/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add3/u17_al_u715 (
.a({open_n9592,\FM_HW/FM_Demodulation/dmd_data_filter[17] [16]}),
.b({open_n9593,\FM_HW/FM_Demodulation/dmd_data_filter[3] [16]}),
.fci(\FM_HW/FM_Demodulation/add3/c17 ),
.f({open_n9612,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add3/u0|add3/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add3/u2|add3/u1 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[17] [2:1]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[3] [2:1]),
.fci(\FM_HW/FM_Demodulation/add3/c1 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [2:1]),
.fco(\FM_HW/FM_Demodulation/add3/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add3/u0|add3/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add3/u4|add3/u3 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[17] [4:3]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[3] [4:3]),
.fci(\FM_HW/FM_Demodulation/add3/c3 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [4:3]),
.fco(\FM_HW/FM_Demodulation/add3/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add3/u0|add3/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add3/u6|add3/u5 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[17] [6:5]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[3] [6:5]),
.fci(\FM_HW/FM_Demodulation/add3/c5 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [6:5]),
.fco(\FM_HW/FM_Demodulation/add3/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add3/u0|add3/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add3/u8|add3/u7 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[17] [8:7]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[3] [8:7]),
.fci(\FM_HW/FM_Demodulation/add3/c7 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [8:7]),
.fco(\FM_HW/FM_Demodulation/add3/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add4/u0|add4/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/add4/u0|add4/ucin (
.a({\FM_HW/FM_Demodulation/dmd_data_filter[16] [0],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter[4] [0],open_n9706}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[5] [6:5]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [0],open_n9722}),
.fco(\FM_HW/FM_Demodulation/add4/c1 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[4] [6:5]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add4/u0|add4/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add4/u10|add4/u9 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[16] [10:9]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[4] [10:9]),
.fci(\FM_HW/FM_Demodulation/add4/c9 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [10:9]),
.fco(\FM_HW/FM_Demodulation/add4/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add4/u0|add4/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add4/u12|add4/u11 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[16] [12:11]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[4] [12:11]),
.fci(\FM_HW/FM_Demodulation/add4/c11 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [12:11]),
.fco(\FM_HW/FM_Demodulation/add4/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add4/u0|add4/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add4/u14|add4/u13 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[16] [14:13]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[4] [14:13]),
.fci(\FM_HW/FM_Demodulation/add4/c13 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [14:13]),
.fco(\FM_HW/FM_Demodulation/add4/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add4/u0|add4/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add4/u16|add4/u15 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[16] [16:15]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[4] [16:15]),
.fci(\FM_HW/FM_Demodulation/add4/c15 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [16:15]),
.fco(\FM_HW/FM_Demodulation/add4/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add4/u0|add4/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add4/u17_al_u716 (
.a({open_n9813,\FM_HW/FM_Demodulation/dmd_data_filter[16] [16]}),
.b({open_n9814,\FM_HW/FM_Demodulation/dmd_data_filter[4] [16]}),
.fci(\FM_HW/FM_Demodulation/add4/c17 ),
.f({open_n9833,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add4/u0|add4/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add4/u2|add4/u1 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[16] [2:1]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[4] [2:1]),
.fci(\FM_HW/FM_Demodulation/add4/c1 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [2:1]),
.fco(\FM_HW/FM_Demodulation/add4/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add4/u0|add4/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add4/u4|add4/u3 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[16] [4:3]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[4] [4:3]),
.fci(\FM_HW/FM_Demodulation/add4/c3 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [4:3]),
.fco(\FM_HW/FM_Demodulation/add4/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add4/u0|add4/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add4/u6|add4/u5 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[16] [6:5]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[4] [6:5]),
.fci(\FM_HW/FM_Demodulation/add4/c5 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [6:5]),
.fco(\FM_HW/FM_Demodulation/add4/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add4/u0|add4/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add4/u8|add4/u7 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[16] [8:7]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[4] [8:7]),
.fci(\FM_HW/FM_Demodulation/add4/c7 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [8:7]),
.fco(\FM_HW/FM_Demodulation/add4/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add5/u0|add5/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add5/u0|add5/ucin (
.a({\FM_HW/FM_Demodulation/dmd_data_filter[15] [0],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter[5] [0],open_n9927}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [0],open_n9947}),
.fco(\FM_HW/FM_Demodulation/add5/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add5/u0|add5/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add5/u10|add5/u9 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[15] [10:9]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[5] [10:9]),
.fci(\FM_HW/FM_Demodulation/add5/c9 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [10:9]),
.fco(\FM_HW/FM_Demodulation/add5/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add5/u0|add5/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add5/u12|add5/u11 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[15] [12:11]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[5] [12:11]),
.fci(\FM_HW/FM_Demodulation/add5/c11 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [12:11]),
.fco(\FM_HW/FM_Demodulation/add5/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add5/u0|add5/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add5/u14|add5/u13 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[15] [14:13]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[5] [14:13]),
.fci(\FM_HW/FM_Demodulation/add5/c13 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [14:13]),
.fco(\FM_HW/FM_Demodulation/add5/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add5/u0|add5/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add5/u16|add5/u15 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[15] [16:15]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[5] [16:15]),
.fci(\FM_HW/FM_Demodulation/add5/c15 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [16:15]),
.fco(\FM_HW/FM_Demodulation/add5/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add5/u0|add5/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add5/u17_al_u717 (
.a({open_n10040,\FM_HW/FM_Demodulation/dmd_data_filter[15] [16]}),
.b({open_n10041,\FM_HW/FM_Demodulation/dmd_data_filter[5] [16]}),
.fci(\FM_HW/FM_Demodulation/add5/c17 ),
.f({open_n10060,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add5/u0|add5/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add5/u2|add5/u1 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[15] [2:1]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[5] [2:1]),
.fci(\FM_HW/FM_Demodulation/add5/c1 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [2:1]),
.fco(\FM_HW/FM_Demodulation/add5/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add5/u0|add5/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add5/u4|add5/u3 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[15] [4:3]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[5] [4:3]),
.fci(\FM_HW/FM_Demodulation/add5/c3 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [4:3]),
.fco(\FM_HW/FM_Demodulation/add5/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add5/u0|add5/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add5/u6|add5/u5 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[15] [6:5]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[5] [6:5]),
.fci(\FM_HW/FM_Demodulation/add5/c5 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [6:5]),
.fco(\FM_HW/FM_Demodulation/add5/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add5/u0|add5/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add5/u8|add5/u7 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[15] [8:7]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[5] [8:7]),
.fci(\FM_HW/FM_Demodulation/add5/c7 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [8:7]),
.fco(\FM_HW/FM_Demodulation/add5/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add6/u0|add6/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/add6/u0|add6/ucin (
.a({\FM_HW/FM_Demodulation/dmd_data_filter[14] [0],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter[6] [0],open_n10154}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[14] [6:5]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [0],open_n10170}),
.fco(\FM_HW/FM_Demodulation/add6/c1 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[13] [6:5]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add6/u0|add6/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add6/u10|add6/u9 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[14] [10:9]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[6] [10:9]),
.fci(\FM_HW/FM_Demodulation/add6/c9 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [10:9]),
.fco(\FM_HW/FM_Demodulation/add6/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add6/u0|add6/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add6/u12|add6/u11 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[14] [12:11]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[6] [12:11]),
.fci(\FM_HW/FM_Demodulation/add6/c11 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [12:11]),
.fco(\FM_HW/FM_Demodulation/add6/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add6/u0|add6/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add6/u14|add6/u13 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[14] [14:13]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[6] [14:13]),
.fci(\FM_HW/FM_Demodulation/add6/c13 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [14:13]),
.fco(\FM_HW/FM_Demodulation/add6/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add6/u0|add6/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add6/u16|add6/u15 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[14] [16:15]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[6] [16:15]),
.fci(\FM_HW/FM_Demodulation/add6/c15 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [16:15]),
.fco(\FM_HW/FM_Demodulation/add6/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add6/u0|add6/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add6/u17_al_u718 (
.a({open_n10261,\FM_HW/FM_Demodulation/dmd_data_filter[14] [16]}),
.b({open_n10262,\FM_HW/FM_Demodulation/dmd_data_filter[6] [16]}),
.fci(\FM_HW/FM_Demodulation/add6/c17 ),
.f({open_n10281,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add6/u0|add6/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add6/u2|add6/u1 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[14] [2:1]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[6] [2:1]),
.fci(\FM_HW/FM_Demodulation/add6/c1 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [2:1]),
.fco(\FM_HW/FM_Demodulation/add6/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add6/u0|add6/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add6/u4|add6/u3 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[14] [4:3]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[6] [4:3]),
.fci(\FM_HW/FM_Demodulation/add6/c3 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [4:3]),
.fco(\FM_HW/FM_Demodulation/add6/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add6/u0|add6/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add6/u6|add6/u5 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[14] [6:5]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[6] [6:5]),
.fci(\FM_HW/FM_Demodulation/add6/c5 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [6:5]),
.fco(\FM_HW/FM_Demodulation/add6/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add6/u0|add6/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add6/u8|add6/u7 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[14] [8:7]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[6] [8:7]),
.fci(\FM_HW/FM_Demodulation/add6/c7 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [8:7]),
.fco(\FM_HW/FM_Demodulation/add6/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add7/u0|add7/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add7/u0|add7/ucin (
.a({\FM_HW/FM_Demodulation/dmd_data_filter[13] [0],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter[7] [0],open_n10375}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [0],open_n10395}),
.fco(\FM_HW/FM_Demodulation/add7/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add7/u0|add7/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add7/u10|add7/u9 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[13] [10:9]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[7] [10:9]),
.fci(\FM_HW/FM_Demodulation/add7/c9 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [10:9]),
.fco(\FM_HW/FM_Demodulation/add7/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add7/u0|add7/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add7/u12|add7/u11 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[13] [12:11]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[7] [12:11]),
.fci(\FM_HW/FM_Demodulation/add7/c11 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [12:11]),
.fco(\FM_HW/FM_Demodulation/add7/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add7/u0|add7/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add7/u14|add7/u13 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[13] [14:13]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[7] [14:13]),
.fci(\FM_HW/FM_Demodulation/add7/c13 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [14:13]),
.fco(\FM_HW/FM_Demodulation/add7/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add7/u0|add7/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add7/u16|add7/u15 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[13] [16:15]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[7] [16:15]),
.fci(\FM_HW/FM_Demodulation/add7/c15 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [16:15]),
.fco(\FM_HW/FM_Demodulation/add7/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add7/u0|add7/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add7/u17_al_u719 (
.a({open_n10488,\FM_HW/FM_Demodulation/dmd_data_filter[13] [16]}),
.b({open_n10489,\FM_HW/FM_Demodulation/dmd_data_filter[7] [16]}),
.fci(\FM_HW/FM_Demodulation/add7/c17 ),
.f({open_n10508,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add7/u0|add7/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add7/u2|add7/u1 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[13] [2:1]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[7] [2:1]),
.fci(\FM_HW/FM_Demodulation/add7/c1 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [2:1]),
.fco(\FM_HW/FM_Demodulation/add7/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add7/u0|add7/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add7/u4|add7/u3 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[13] [4:3]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[7] [4:3]),
.fci(\FM_HW/FM_Demodulation/add7/c3 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [4:3]),
.fco(\FM_HW/FM_Demodulation/add7/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add7/u0|add7/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add7/u6|add7/u5 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[13] [6:5]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[7] [6:5]),
.fci(\FM_HW/FM_Demodulation/add7/c5 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [6:5]),
.fco(\FM_HW/FM_Demodulation/add7/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add7/u0|add7/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add7/u8|add7/u7 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[13] [8:7]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[7] [8:7]),
.fci(\FM_HW/FM_Demodulation/add7/c7 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [8:7]),
.fco(\FM_HW/FM_Demodulation/add7/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add8/u0|add8/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/add8/u0|add8/ucin (
.a({\FM_HW/FM_Demodulation/dmd_data_filter[12] [0],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter[8] [0],open_n10602}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[9] [6:5]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [0],open_n10618}),
.fco(\FM_HW/FM_Demodulation/add8/c1 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[8] [6:5]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add8/u0|add8/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add8/u10|add8/u9 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[12] [10:9]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[8] [10:9]),
.fci(\FM_HW/FM_Demodulation/add8/c9 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [10:9]),
.fco(\FM_HW/FM_Demodulation/add8/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add8/u0|add8/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add8/u12|add8/u11 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[12] [12:11]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[8] [12:11]),
.fci(\FM_HW/FM_Demodulation/add8/c11 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [12:11]),
.fco(\FM_HW/FM_Demodulation/add8/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add8/u0|add8/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add8/u14|add8/u13 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[12] [14:13]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[8] [14:13]),
.fci(\FM_HW/FM_Demodulation/add8/c13 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [14:13]),
.fco(\FM_HW/FM_Demodulation/add8/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add8/u0|add8/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add8/u16|add8/u15 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[12] [16:15]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[8] [16:15]),
.fci(\FM_HW/FM_Demodulation/add8/c15 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [16:15]),
.fco(\FM_HW/FM_Demodulation/add8/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add8/u0|add8/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add8/u17_al_u720 (
.a({open_n10709,\FM_HW/FM_Demodulation/dmd_data_filter[12] [16]}),
.b({open_n10710,\FM_HW/FM_Demodulation/dmd_data_filter[8] [16]}),
.fci(\FM_HW/FM_Demodulation/add8/c17 ),
.f({open_n10729,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add8/u0|add8/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add8/u2|add8/u1 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[12] [2:1]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[8] [2:1]),
.fci(\FM_HW/FM_Demodulation/add8/c1 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [2:1]),
.fco(\FM_HW/FM_Demodulation/add8/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add8/u0|add8/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add8/u4|add8/u3 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[12] [4:3]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[8] [4:3]),
.fci(\FM_HW/FM_Demodulation/add8/c3 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [4:3]),
.fco(\FM_HW/FM_Demodulation/add8/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add8/u0|add8/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add8/u6|add8/u5 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[12] [6:5]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[8] [6:5]),
.fci(\FM_HW/FM_Demodulation/add8/c5 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [6:5]),
.fco(\FM_HW/FM_Demodulation/add8/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add8/u0|add8/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add8/u8|add8/u7 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[12] [8:7]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[8] [8:7]),
.fci(\FM_HW/FM_Demodulation/add8/c7 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [8:7]),
.fco(\FM_HW/FM_Demodulation/add8/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add9/u0|add9/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add9/u0|add9/ucin (
.a({\FM_HW/FM_Demodulation/dmd_data_filter[11] [0],1'b0}),
.b({\FM_HW/FM_Demodulation/dmd_data_filter[9] [0],open_n10823}),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [0],open_n10843}),
.fco(\FM_HW/FM_Demodulation/add9/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add9/u0|add9/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add9/u10|add9/u9 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[11] [10:9]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[9] [10:9]),
.fci(\FM_HW/FM_Demodulation/add9/c9 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [10:9]),
.fco(\FM_HW/FM_Demodulation/add9/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add9/u0|add9/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add9/u12|add9/u11 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[11] [12:11]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[9] [12:11]),
.fci(\FM_HW/FM_Demodulation/add9/c11 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [12:11]),
.fco(\FM_HW/FM_Demodulation/add9/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add9/u0|add9/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add9/u14|add9/u13 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[11] [14:13]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[9] [14:13]),
.fci(\FM_HW/FM_Demodulation/add9/c13 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [14:13]),
.fco(\FM_HW/FM_Demodulation/add9/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add9/u0|add9/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add9/u16|add9/u15 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[11] [16:15]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[9] [16:15]),
.fci(\FM_HW/FM_Demodulation/add9/c15 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [16:15]),
.fco(\FM_HW/FM_Demodulation/add9/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add9/u0|add9/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add9/u17_al_u721 (
.a({open_n10936,\FM_HW/FM_Demodulation/dmd_data_filter[11] [16]}),
.b({open_n10937,\FM_HW/FM_Demodulation/dmd_data_filter[9] [16]}),
.fci(\FM_HW/FM_Demodulation/add9/c17 ),
.f({open_n10956,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add9/u0|add9/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add9/u2|add9/u1 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[11] [2:1]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[9] [2:1]),
.fci(\FM_HW/FM_Demodulation/add9/c1 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [2:1]),
.fco(\FM_HW/FM_Demodulation/add9/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add9/u0|add9/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add9/u4|add9/u3 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[11] [4:3]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[9] [4:3]),
.fci(\FM_HW/FM_Demodulation/add9/c3 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [4:3]),
.fco(\FM_HW/FM_Demodulation/add9/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add9/u0|add9/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add9/u6|add9/u5 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[11] [6:5]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[9] [6:5]),
.fci(\FM_HW/FM_Demodulation/add9/c5 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [6:5]),
.fco(\FM_HW/FM_Demodulation/add9/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/add9/u0|add9/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/add9/u8|add9/u7 (
.a(\FM_HW/FM_Demodulation/dmd_data_filter[11] [8:7]),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[9] [8:7]),
.fci(\FM_HW/FM_Demodulation/add9/c7 ),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [8:7]),
.fco(\FM_HW/FM_Demodulation/add9/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/add0/u0|fm_sample/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/add0/u0|fm_sample/add0/ucin (
.a({\FM_HW/FM_Demodulation/fm_sample/cnt [0],1'b0}),
.b({1'b1,open_n11050}),
.f({\FM_HW/FM_Demodulation/fm_sample/n4 [0],open_n11070}),
.fco(\FM_HW/FM_Demodulation/fm_sample/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/add0/u0|fm_sample/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/add0/u2|fm_sample/add0/u1 (
.a(\FM_HW/FM_Demodulation/fm_sample/cnt [2:1]),
.b(2'b00),
.fci(\FM_HW/FM_Demodulation/fm_sample/add0/c1 ),
.f(\FM_HW/FM_Demodulation/fm_sample/n4 [2:1]),
.fco(\FM_HW/FM_Demodulation/fm_sample/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/add0/u0|fm_sample/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/add0/u4|fm_sample/add0/u3 (
.a(\FM_HW/FM_Demodulation/fm_sample/cnt [4:3]),
.b(2'b00),
.fci(\FM_HW/FM_Demodulation/fm_sample/add0/c3 ),
.f(\FM_HW/FM_Demodulation/fm_sample/n4 [4:3]),
.fco(\FM_HW/FM_Demodulation/fm_sample/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/add0/u0|fm_sample/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/add0/u5_al_u722 (
.a({open_n11119,\FM_HW/FM_Demodulation/fm_sample/cnt [5]}),
.b({open_n11120,1'b0}),
.fci(\FM_HW/FM_Demodulation/fm_sample/add0/c5 ),
.f({open_n11139,\FM_HW/FM_Demodulation/fm_sample/n4 [5]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTG0("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/fm_sample/clk_fm_demo_sampling_reg (
.c({open_n11149,\FM_HW/FM_Demodulation/fm_sample/n2 }),
.ce(RSTn_pad),
.clk(\FM_HW/EOC_gclk_net ),
.d({open_n11150,\FM_HW/adc_Power_down }),
.q({open_n11173,\FM_HW/clk_fm_demo_sampling })); // ../rtl/demodulation/clk_fm_demodulation.v(13)
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/lt0_0|fm_sample/lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/lt0_0|fm_sample/lt0_cin (
.a(2'b01),
.b({\FM_HW/FM_Demodulation/fm_sample/cnt [0],open_n11174}),
.fco(\FM_HW/FM_Demodulation/fm_sample/lt0_c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/lt0_0|fm_sample/lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/lt0_2|fm_sample/lt0_1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/fm_sample/cnt [2:1]),
.fci(\FM_HW/FM_Demodulation/fm_sample/lt0_c1 ),
.fco(\FM_HW/FM_Demodulation/fm_sample/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/lt0_0|fm_sample/lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/lt0_4|fm_sample/lt0_3 (
.a(2'b11),
.b(\FM_HW/FM_Demodulation/fm_sample/cnt [4:3]),
.fci(\FM_HW/FM_Demodulation/fm_sample/lt0_c3 ),
.fco(\FM_HW/FM_Demodulation/fm_sample/lt0_c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/lt0_0|fm_sample/lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/lt0_cout|fm_sample/lt0_5 (
.a(2'b00),
.b({1'b1,\FM_HW/FM_Demodulation/fm_sample/cnt [5]}),
.fci(\FM_HW/FM_Demodulation/fm_sample/lt0_c5 ),
.f({\FM_HW/FM_Demodulation/fm_sample/n2 ,open_n11266}));
// ../rtl/demodulation/clk_fm_demodulation.v(13)
// ../rtl/demodulation/clk_fm_demodulation.v(13)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~D)"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(~C*B*~D)"),
//.LUTG1("(~C*B*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001100),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0000000000001100),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/fm_sample/reg0_b0|FM_HW/FM_Demodulation/fm_sample/reg0_b1 (
.b({\FM_HW/FM_Demodulation/fm_sample/n4 [0],\FM_HW/FM_Demodulation/fm_sample/n4 [1]}),
.c({\FM_HW/FM_Demodulation/fm_sample/n2 ,\FM_HW/FM_Demodulation/fm_sample/n2 }),
.ce(\FM_HW/adc_Power_down ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/adc_Power_down ,\FM_HW/adc_Power_down }),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/fm_sample/cnt [0],\FM_HW/FM_Demodulation/fm_sample/cnt [1]})); // ../rtl/demodulation/clk_fm_demodulation.v(13)
// ../rtl/demodulation/clk_fm_demodulation.v(13)
// ../rtl/demodulation/clk_fm_demodulation.v(13)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~D)"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(~C*B*~D)"),
//.LUTG1("(~C*B*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001100),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0000000000001100),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/fm_sample/reg0_b2|FM_HW/FM_Demodulation/fm_sample/reg0_b3 (
.b({\FM_HW/FM_Demodulation/fm_sample/n4 [2],\FM_HW/FM_Demodulation/fm_sample/n4 [3]}),
.c({\FM_HW/FM_Demodulation/fm_sample/n2 ,\FM_HW/FM_Demodulation/fm_sample/n2 }),
.ce(\FM_HW/adc_Power_down ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/adc_Power_down ,\FM_HW/adc_Power_down }),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/fm_sample/cnt [2],\FM_HW/FM_Demodulation/fm_sample/cnt [3]})); // ../rtl/demodulation/clk_fm_demodulation.v(13)
// ../rtl/demodulation/clk_fm_demodulation.v(13)
// ../rtl/demodulation/clk_fm_demodulation.v(13)
EG_PHY_MSLICE #(
//.LUT0("(~C*B*~D)"),
//.LUT1("(~C*B*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001100),
.INIT_LUT1(16'b0000000000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/fm_sample/reg0_b4|FM_HW/FM_Demodulation/fm_sample/reg0_b5 (
.b({\FM_HW/FM_Demodulation/fm_sample/n4 [4],\FM_HW/FM_Demodulation/fm_sample/n4 [5]}),
.c({\FM_HW/FM_Demodulation/fm_sample/n2 ,\FM_HW/FM_Demodulation/fm_sample/n2 }),
.ce(\FM_HW/adc_Power_down ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/adc_Power_down ,\FM_HW/adc_Power_down }),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/fm_sample/cnt [4],\FM_HW/FM_Demodulation/fm_sample/cnt [5]})); // ../rtl/demodulation/clk_fm_demodulation.v(13)
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add0/u0|multl18M9_0/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add0/u0|multl18M9_0/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [0],open_n11337}),
.f({\FM_HW/FM_Demodulation/multl18M9_0/n1 [0],open_n11357}),
.fco(\FM_HW/FM_Demodulation/multl18M9_0/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add0/u0|multl18M9_0/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add0/u10|multl18M9_0/add0/u9 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [10:9]),
.fci(\FM_HW/FM_Demodulation/multl18M9_0/add0/c9 ),
.f(\FM_HW/FM_Demodulation/multl18M9_0/n1 [10:9]),
.fco(\FM_HW/FM_Demodulation/multl18M9_0/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add0/u0|multl18M9_0/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add0/u12|multl18M9_0/add0/u11 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [12:11]),
.fci(\FM_HW/FM_Demodulation/multl18M9_0/add0/c11 ),
.f(\FM_HW/FM_Demodulation/multl18M9_0/n1 [12:11]),
.fco(\FM_HW/FM_Demodulation/multl18M9_0/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add0/u0|multl18M9_0/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add0/u14|multl18M9_0/add0/u13 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [14:13]),
.fci(\FM_HW/FM_Demodulation/multl18M9_0/add0/c13 ),
.f(\FM_HW/FM_Demodulation/multl18M9_0/n1 [14:13]),
.fco(\FM_HW/FM_Demodulation/multl18M9_0/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add0/u0|multl18M9_0/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add0/u16|multl18M9_0/add0/u15 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [16:15]),
.fci(\FM_HW/FM_Demodulation/multl18M9_0/add0/c15 ),
.f(\FM_HW/FM_Demodulation/multl18M9_0/n1 [16:15]),
.fco(\FM_HW/FM_Demodulation/multl18M9_0/add0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add0/u0|multl18M9_0/add0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add0/u17_al_u723 (
.a({open_n11450,1'b0}),
.b({open_n11451,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_0/add0/c17 ),
.f({open_n11470,\FM_HW/FM_Demodulation/multl18M9_0/n1 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add0/u0|multl18M9_0/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add0/u2|multl18M9_0/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [2:1]),
.fci(\FM_HW/FM_Demodulation/multl18M9_0/add0/c1 ),
.f(\FM_HW/FM_Demodulation/multl18M9_0/n1 [2:1]),
.fco(\FM_HW/FM_Demodulation/multl18M9_0/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add0/u0|multl18M9_0/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add0/u4|multl18M9_0/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [4:3]),
.fci(\FM_HW/FM_Demodulation/multl18M9_0/add0/c3 ),
.f(\FM_HW/FM_Demodulation/multl18M9_0/n1 [4:3]),
.fco(\FM_HW/FM_Demodulation/multl18M9_0/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add0/u0|multl18M9_0/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add0/u6|multl18M9_0/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [6:5]),
.fci(\FM_HW/FM_Demodulation/multl18M9_0/add0/c5 ),
.f(\FM_HW/FM_Demodulation/multl18M9_0/n1 [6:5]),
.fco(\FM_HW/FM_Demodulation/multl18M9_0/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add0/u0|multl18M9_0/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add0/u8|multl18M9_0/add0/u7 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [8:7]),
.fci(\FM_HW/FM_Demodulation/multl18M9_0/add0/c7 ),
.f(\FM_HW/FM_Demodulation/multl18M9_0/n1 [8:7]),
.fco(\FM_HW/FM_Demodulation/multl18M9_0/add0/c9 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add2_2/ucin_al_u695"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add2_2/u11_al_u698 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_0/n2 [13],\FM_HW/FM_Demodulation/multl18M9_0/n2 [11]}),
.e({\FM_HW/FM_Demodulation/multl18M9_0/n2 [14],\FM_HW/FM_Demodulation/multl18M9_0/n2 [12]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_0/add2_2/c11 ),
.f({\FM_HW/FM_Demodulation/multl18M9_0/n9 [13],\FM_HW/FM_Demodulation/multl18M9_0/n9 [11]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_0/add2_2/c15 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_0/n9 [14],\FM_HW/FM_Demodulation/multl18M9_0/n9 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add2_2/ucin_al_u695"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add2_2/u15_al_u699 (
.a(2'b00),
.b({open_n11582,1'b0}),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_0/n2 [17],\FM_HW/FM_Demodulation/multl18M9_0/n2 [15]}),
.e({open_n11585,\FM_HW/FM_Demodulation/multl18M9_0/n2 [16]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_0/add2_2/c15 ),
.f({\FM_HW/FM_Demodulation/multl18M9_0/n9 [17],\FM_HW/FM_Demodulation/multl18M9_0/n9 [15]}),
.fx({\FM_HW/FM_Demodulation/multl18M9_0/n9 [18],\FM_HW/FM_Demodulation/multl18M9_0/n9 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add2_2/ucin_al_u695"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add2_2/u3_al_u696 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_0/n2 [5],\FM_HW/FM_Demodulation/multl18M9_0/n2 [3]}),
.e({\FM_HW/FM_Demodulation/multl18M9_0/n2 [6],\FM_HW/FM_Demodulation/multl18M9_0/n2 [4]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_0/add2_2/c3 ),
.f({\FM_HW/FM_Demodulation/multl18M9_0/n9 [5],\FM_HW/FM_Demodulation/multl18M9_0/n9 [3]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_0/add2_2/c7 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_0/n9 [6],\FM_HW/FM_Demodulation/multl18M9_0/n9 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add2_2/ucin_al_u695"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_0/add2_2/u7_al_u697 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_0/n2 [9],\FM_HW/FM_Demodulation/multl18M9_0/n2 [7]}),
.e({\FM_HW/FM_Demodulation/multl18M9_0/n2 [10],\FM_HW/FM_Demodulation/multl18M9_0/n2 [8]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_0/add2_2/c7 ),
.f({\FM_HW/FM_Demodulation/multl18M9_0/n9 [9],\FM_HW/FM_Demodulation/multl18M9_0/n9 [7]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_0/add2_2/c11 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_0/n9 [10],\FM_HW/FM_Demodulation/multl18M9_0/n9 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_0/add2_2/ucin_al_u695"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/multl18M9_0/add2_2/ucin_al_u695 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/multl18M9_0/n2 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/multl18M9_0/n2 [2],\FM_HW/FM_Demodulation/multl18M9_0/n2 [0]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[20] [5:4]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_0/n9 [1],open_n11652}),
.fco(\FM_HW/FM_Demodulation/multl18M9_0/add2_2/c3 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_0/n9 [2],\FM_HW/FM_Demodulation/multl18M9_0/n9 [0]}),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[19] [5:4]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add0/u0|multl18M9_1/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add0/u0|multl18M9_1/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [0],open_n11653}),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n1 [0],open_n11673}),
.fco(\FM_HW/FM_Demodulation/multl18M9_1/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add0/u0|multl18M9_1/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add0/u10|multl18M9_1/add0/u9 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [10:9]),
.fci(\FM_HW/FM_Demodulation/multl18M9_1/add0/c9 ),
.f(\FM_HW/FM_Demodulation/multl18M9_1/n1 [10:9]),
.fco(\FM_HW/FM_Demodulation/multl18M9_1/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add0/u0|multl18M9_1/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add0/u12|multl18M9_1/add0/u11 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [12:11]),
.fci(\FM_HW/FM_Demodulation/multl18M9_1/add0/c11 ),
.f(\FM_HW/FM_Demodulation/multl18M9_1/n1 [12:11]),
.fco(\FM_HW/FM_Demodulation/multl18M9_1/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add0/u0|multl18M9_1/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add0/u14|multl18M9_1/add0/u13 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [14:13]),
.fci(\FM_HW/FM_Demodulation/multl18M9_1/add0/c13 ),
.f(\FM_HW/FM_Demodulation/multl18M9_1/n1 [14:13]),
.fco(\FM_HW/FM_Demodulation/multl18M9_1/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add0/u0|multl18M9_1/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add0/u16|multl18M9_1/add0/u15 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [16:15]),
.fci(\FM_HW/FM_Demodulation/multl18M9_1/add0/c15 ),
.f(\FM_HW/FM_Demodulation/multl18M9_1/n1 [16:15]),
.fco(\FM_HW/FM_Demodulation/multl18M9_1/add0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add0/u0|multl18M9_1/add0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add0/u17_al_u724 (
.a({open_n11766,1'b0}),
.b({open_n11767,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_1/add0/c17 ),
.f({open_n11786,\FM_HW/FM_Demodulation/multl18M9_1/n1 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add0/u0|multl18M9_1/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add0/u2|multl18M9_1/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [2:1]),
.fci(\FM_HW/FM_Demodulation/multl18M9_1/add0/c1 ),
.f(\FM_HW/FM_Demodulation/multl18M9_1/n1 [2:1]),
.fco(\FM_HW/FM_Demodulation/multl18M9_1/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add0/u0|multl18M9_1/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add0/u4|multl18M9_1/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [4:3]),
.fci(\FM_HW/FM_Demodulation/multl18M9_1/add0/c3 ),
.f(\FM_HW/FM_Demodulation/multl18M9_1/n1 [4:3]),
.fco(\FM_HW/FM_Demodulation/multl18M9_1/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add0/u0|multl18M9_1/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add0/u6|multl18M9_1/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [6:5]),
.fci(\FM_HW/FM_Demodulation/multl18M9_1/add0/c5 ),
.f(\FM_HW/FM_Demodulation/multl18M9_1/n1 [6:5]),
.fco(\FM_HW/FM_Demodulation/multl18M9_1/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add0/u0|multl18M9_1/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add0/u8|multl18M9_1/add0/u7 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [8:7]),
.fci(\FM_HW/FM_Demodulation/multl18M9_1/add0/c7 ),
.f(\FM_HW/FM_Demodulation/multl18M9_1/n1 [8:7]),
.fco(\FM_HW/FM_Demodulation/multl18M9_1/add0/c9 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add2/ucin_al_u700"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add2/u11_al_u703 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_1/n2 [13],\FM_HW/FM_Demodulation/multl18M9_1/n2 [11]}),
.e({\FM_HW/FM_Demodulation/multl18M9_1/n2 [14],\FM_HW/FM_Demodulation/multl18M9_1/n2 [12]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_1/add2/c11 ),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n9 [14],\FM_HW/FM_Demodulation/multl18M9_1/n9 [12]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_1/add2/c15 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_1/n9 [15],\FM_HW/FM_Demodulation/multl18M9_1/n9 [13]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add2/ucin_al_u700"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add2/u15_al_u704 (
.a(2'b00),
.b({open_n11898,1'b0}),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_1/n2 [17],\FM_HW/FM_Demodulation/multl18M9_1/n2 [15]}),
.e({open_n11901,\FM_HW/FM_Demodulation/multl18M9_1/n2 [16]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_1/add2/c15 ),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n9 [18],\FM_HW/FM_Demodulation/multl18M9_1/n9 [16]}),
.fx({\FM_HW/FM_Demodulation/multl18M9_1/n9 [19],\FM_HW/FM_Demodulation/multl18M9_1/n9 [17]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add2/ucin_al_u700"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add2/u3_al_u701 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_1/n2 [5],\FM_HW/FM_Demodulation/multl18M9_1/n2 [3]}),
.e({\FM_HW/FM_Demodulation/multl18M9_1/n2 [6],\FM_HW/FM_Demodulation/multl18M9_1/n2 [4]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_1/add2/c3 ),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n9 [6],\FM_HW/FM_Demodulation/multl18M9_1/n9 [4]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_1/add2/c7 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_1/n9 [7],\FM_HW/FM_Demodulation/multl18M9_1/n9 [5]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add2/ucin_al_u700"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add2/u7_al_u702 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_1/n2 [9],\FM_HW/FM_Demodulation/multl18M9_1/n2 [7]}),
.e({\FM_HW/FM_Demodulation/multl18M9_1/n2 [10],\FM_HW/FM_Demodulation/multl18M9_1/n2 [8]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_1/add2/c7 ),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n9 [10],\FM_HW/FM_Demodulation/multl18M9_1/n9 [8]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_1/add2/c11 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_1/n9 [11],\FM_HW/FM_Demodulation/multl18M9_1/n9 [9]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_1/add2/ucin_al_u700"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_1/add2/ucin_al_u700 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_1/n2 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/multl18M9_1/n2 [2],\FM_HW/FM_Demodulation/multl18M9_1/n2 [0]}),
.f({\FM_HW/FM_Demodulation/multl18M9_1/n9 [2],open_n11972}),
.fco(\FM_HW/FM_Demodulation/multl18M9_1/add2/c3 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_1/n9 [3],\FM_HW/FM_Demodulation/multl18M9_1/n9 [1]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add0/u0|multl18M9_10/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/multl18M9_10/add0/u0|multl18M9_10/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/dmd_data_filter[10] [0],open_n11975}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[10] [10:9]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_10/n1 [0],open_n11991}),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add0/c1 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[9] [10:9]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add0/u0|multl18M9_10/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add0/u10|multl18M9_10/add0/u9 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[10] [10:9]),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add0/c9 ),
.f(\FM_HW/FM_Demodulation/multl18M9_10/n1 [10:9]),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add0/u0|multl18M9_10/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add0/u12|multl18M9_10/add0/u11 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[10] [12:11]),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add0/c11 ),
.f(\FM_HW/FM_Demodulation/multl18M9_10/n1 [12:11]),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add0/u0|multl18M9_10/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add0/u14|multl18M9_10/add0/u13 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[10] [14:13]),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add0/c13 ),
.f(\FM_HW/FM_Demodulation/multl18M9_10/n1 [14:13]),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add0/u0|multl18M9_10/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add0/u16|multl18M9_10/add0/u15 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[10] [16:15]),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add0/c15 ),
.f(\FM_HW/FM_Demodulation/multl18M9_10/n1 [16:15]),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add0/u0|multl18M9_10/add0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add0/u17_al_u725 (
.a({open_n12082,1'b0}),
.b({open_n12083,\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add0/c17 ),
.f({open_n12102,\FM_HW/FM_Demodulation/multl18M9_10/n1 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add0/u0|multl18M9_10/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add0/u2|multl18M9_10/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[10] [2:1]),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add0/c1 ),
.f(\FM_HW/FM_Demodulation/multl18M9_10/n1 [2:1]),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add0/u0|multl18M9_10/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add0/u4|multl18M9_10/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[10] [4:3]),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add0/c3 ),
.f(\FM_HW/FM_Demodulation/multl18M9_10/n1 [4:3]),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add0/u0|multl18M9_10/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add0/u6|multl18M9_10/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[10] [6:5]),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add0/c5 ),
.f(\FM_HW/FM_Demodulation/multl18M9_10/n1 [6:5]),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add0/u0|multl18M9_10/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add0/u8|multl18M9_10/add0/u7 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter[10] [8:7]),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add0/c7 ),
.f(\FM_HW/FM_Demodulation/multl18M9_10/n1 [8:7]),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add0/c9 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add2_2/ucin_al_u665"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add2_2/u11_al_u668 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n6 [15],\FM_HW/FM_Demodulation/multl18M9_10/n6 [13]}),
.e({\FM_HW/FM_Demodulation/multl18M9_10/n6 [16],\FM_HW/FM_Demodulation/multl18M9_10/n6 [14]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add2_2/c11 ),
.f({\FM_HW/FM_Demodulation/multl18M9_10/n9 [15],\FM_HW/FM_Demodulation/multl18M9_10/n9 [13]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add2_2/c15 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_10/n9 [16],\FM_HW/FM_Demodulation/multl18M9_10/n9 [14]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add2_2/ucin_al_u665"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add2_2/u15_al_u669 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n6 [19],\FM_HW/FM_Demodulation/multl18M9_10/n6 [17]}),
.e({\FM_HW/FM_Demodulation/multl18M9_10/n6 [20],\FM_HW/FM_Demodulation/multl18M9_10/n6 [18]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add2_2/c15 ),
.f({\FM_HW/FM_Demodulation/multl18M9_10/n9 [19],\FM_HW/FM_Demodulation/multl18M9_10/n9 [17]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add2_2/c19 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_10/n9 [20],\FM_HW/FM_Demodulation/multl18M9_10/n9 [18]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add2_2/ucin_al_u665"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add2_2/u19_al_u670 (
.a({open_n12232,1'b0}),
.b({open_n12233,1'b0}),
.c(2'b11),
.d({open_n12236,\FM_HW/FM_Demodulation/multl18M9_10/n6 [21]}),
.e({open_n12237,\FM_HW/FM_Demodulation/multl18M9_10/n6 [22]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add2_2/c19 ),
.f({\FM_HW/FM_Demodulation/multl18M9_10/n9 [26],\FM_HW/FM_Demodulation/multl18M9_10/n9 [21]}),
.fx({open_n12253,\FM_HW/FM_Demodulation/multl18M9_10/n9 [22]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add2_2/ucin_al_u665"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add2_2/u3_al_u666 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n6 [7],\FM_HW/FM_Demodulation/multl18M9_10/n6 [5]}),
.e({\FM_HW/FM_Demodulation/multl18M9_10/n6 [8],\FM_HW/FM_Demodulation/multl18M9_10/n6 [6]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add2_2/c3 ),
.f({\FM_HW/FM_Demodulation/multl18M9_10/n9 [7],\FM_HW/FM_Demodulation/multl18M9_10/n9 [5]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add2_2/c7 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_10/n9 [8],\FM_HW/FM_Demodulation/multl18M9_10/n9 [6]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add2_2/ucin_al_u665"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add2_2/u7_al_u667 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n6 [11],\FM_HW/FM_Demodulation/multl18M9_10/n6 [9]}),
.e({\FM_HW/FM_Demodulation/multl18M9_10/n6 [12],\FM_HW/FM_Demodulation/multl18M9_10/n6 [10]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_10/add2_2/c7 ),
.f({\FM_HW/FM_Demodulation/multl18M9_10/n9 [11],\FM_HW/FM_Demodulation/multl18M9_10/n9 [9]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add2_2/c11 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_10/n9 [12],\FM_HW/FM_Demodulation/multl18M9_10/n9 [10]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_10/add2_2/ucin_al_u665"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_10/add2_2/ucin_al_u665 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n6 [3],1'b1}),
.e({\FM_HW/FM_Demodulation/multl18M9_10/n6 [4],\FM_HW/FM_Demodulation/multl18M9_10/n6 [2]}),
.f({\FM_HW/FM_Demodulation/multl18M9_10/n9 [3],open_n12309}),
.fco(\FM_HW/FM_Demodulation/multl18M9_10/add2_2/c3 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_10/n9 [4],\FM_HW/FM_Demodulation/multl18M9_10/n9 [2]}));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/multl18M9_10/mult0_ (
.a(\FM_HW/FM_Demodulation/multl18M9_10/n2 ),
.b(18'b000000000000000101),
.p({open_n12395,open_n12396,open_n12397,open_n12398,open_n12399,open_n12400,open_n12401,open_n12402,open_n12403,open_n12404,open_n12405,open_n12406,open_n12407,open_n12408,open_n12409,\FM_HW/FM_Demodulation/multl18M9_10/n6 [22:2]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add0/u0|multl18M9_2/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/multl18M9_2/add0/u0|multl18M9_2/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [0],open_n12410}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[4] [13],\FM_HW/FM_Demodulation/dmd_data_filter[17] [16]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n1 [0],open_n12426}),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add0/c1 ),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[3] [13],\FM_HW/FM_Demodulation/dmd_data_filter[16] [16]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add0/u0|multl18M9_2/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add0/u10|multl18M9_2/add0/u9 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [10:9]),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add0/c9 ),
.f(\FM_HW/FM_Demodulation/multl18M9_2/n1 [10:9]),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add0/u0|multl18M9_2/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add0/u12|multl18M9_2/add0/u11 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [12:11]),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add0/c11 ),
.f(\FM_HW/FM_Demodulation/multl18M9_2/n1 [12:11]),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add0/u0|multl18M9_2/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add0/u14|multl18M9_2/add0/u13 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [14:13]),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add0/c13 ),
.f(\FM_HW/FM_Demodulation/multl18M9_2/n1 [14:13]),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add0/u0|multl18M9_2/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add0/u16|multl18M9_2/add0/u15 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [16:15]),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add0/c15 ),
.f(\FM_HW/FM_Demodulation/multl18M9_2/n1 [16:15]),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add0/u0|multl18M9_2/add0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add0/u17_al_u726 (
.a({open_n12517,1'b0}),
.b({open_n12518,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [17]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add0/c17 ),
.f({open_n12537,\FM_HW/FM_Demodulation/multl18M9_2/n1 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add0/u0|multl18M9_2/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add0/u2|multl18M9_2/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [2:1]),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add0/c1 ),
.f(\FM_HW/FM_Demodulation/multl18M9_2/n1 [2:1]),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add0/u0|multl18M9_2/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add0/u4|multl18M9_2/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [4:3]),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add0/c3 ),
.f(\FM_HW/FM_Demodulation/multl18M9_2/n1 [4:3]),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add0/u0|multl18M9_2/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add0/u6|multl18M9_2/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [6:5]),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add0/c5 ),
.f(\FM_HW/FM_Demodulation/multl18M9_2/n1 [6:5]),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add0/u0|multl18M9_2/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add0/u8|multl18M9_2/add0/u7 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_2_18 [8:7]),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add0/c7 ),
.f(\FM_HW/FM_Demodulation/multl18M9_2/n1 [8:7]),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add0/c9 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add2_2/ucin_al_u689"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add2_2/u11_al_u692 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_2/n6 [13],\FM_HW/FM_Demodulation/multl18M9_2/n6 [11]}),
.e({\FM_HW/FM_Demodulation/multl18M9_2/n6 [14],\FM_HW/FM_Demodulation/multl18M9_2/n6 [12]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add2_2/c11 ),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n9 [13],\FM_HW/FM_Demodulation/multl18M9_2/n9 [11]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add2_2/c15 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_2/n9 [14],\FM_HW/FM_Demodulation/multl18M9_2/n9 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add2_2/ucin_al_u689"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add2_2/u15_al_u693 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_2/n6 [17],\FM_HW/FM_Demodulation/multl18M9_2/n6 [15]}),
.e({\FM_HW/FM_Demodulation/multl18M9_2/n6 [18],\FM_HW/FM_Demodulation/multl18M9_2/n6 [16]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add2_2/c15 ),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n9 [17],\FM_HW/FM_Demodulation/multl18M9_2/n9 [15]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add2_2/c19 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_2/n9 [18],\FM_HW/FM_Demodulation/multl18M9_2/n9 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add2_2/ucin_al_u689"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add2_2/u19_al_u694 (
.a({open_n12667,1'b0}),
.c(2'b11),
.d({open_n12672,\FM_HW/FM_Demodulation/multl18M9_2/n6 [19]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add2_2/c19 ),
.f({open_n12689,\FM_HW/FM_Demodulation/multl18M9_2/n9 [19]}),
.fx({open_n12691,\FM_HW/FM_Demodulation/multl18M9_2/n9 [26]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add2_2/ucin_al_u689"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add2_2/u3_al_u690 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_2/n6 [5],\FM_HW/FM_Demodulation/multl18M9_2/n6 [3]}),
.e({\FM_HW/FM_Demodulation/multl18M9_2/n6 [6],\FM_HW/FM_Demodulation/multl18M9_2/n6 [4]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add2_2/c3 ),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n9 [5],\FM_HW/FM_Demodulation/multl18M9_2/n9 [3]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add2_2/c7 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_2/n9 [6],\FM_HW/FM_Demodulation/multl18M9_2/n9 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add2_2/ucin_al_u689"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add2_2/u7_al_u691 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_2/n6 [9],\FM_HW/FM_Demodulation/multl18M9_2/n6 [7]}),
.e({\FM_HW/FM_Demodulation/multl18M9_2/n6 [10],\FM_HW/FM_Demodulation/multl18M9_2/n6 [8]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_2/add2_2/c7 ),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n9 [9],\FM_HW/FM_Demodulation/multl18M9_2/n9 [7]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add2_2/c11 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_2/n9 [10],\FM_HW/FM_Demodulation/multl18M9_2/n9 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_2/add2_2/ucin_al_u689"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_2/add2_2/ucin_al_u689 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_2/n6 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/multl18M9_2/n6 [2],\FM_HW/FM_Demodulation/multl18M9_2/n6 [0]}),
.f({\FM_HW/FM_Demodulation/multl18M9_2/n9 [1],open_n12747}),
.fco(\FM_HW/FM_Demodulation/multl18M9_2/add2_2/c3 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_2/n9 [2],\FM_HW/FM_Demodulation/multl18M9_2/n9 [0]}));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/multl18M9_2/mult0_ (
.a(\FM_HW/FM_Demodulation/multl18M9_2/n2 ),
.b(18'b000000000000000011),
.p({open_n12833,open_n12834,open_n12835,open_n12836,open_n12837,open_n12838,open_n12839,open_n12840,open_n12841,open_n12842,open_n12843,open_n12844,open_n12845,open_n12846,open_n12847,open_n12848,\FM_HW/FM_Demodulation/multl18M9_2/n6 [19:0]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add0/u0|multl18M9_3/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add0/u0|multl18M9_3/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [0],open_n12849}),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n1 [0],open_n12869}),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add0/u0|multl18M9_3/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add0/u10|multl18M9_3/add0/u9 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [10:9]),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add0/c9 ),
.f(\FM_HW/FM_Demodulation/multl18M9_3/n1 [10:9]),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add0/u0|multl18M9_3/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add0/u12|multl18M9_3/add0/u11 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [12:11]),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add0/c11 ),
.f(\FM_HW/FM_Demodulation/multl18M9_3/n1 [12:11]),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add0/u0|multl18M9_3/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add0/u14|multl18M9_3/add0/u13 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [14:13]),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add0/c13 ),
.f(\FM_HW/FM_Demodulation/multl18M9_3/n1 [14:13]),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add0/u0|multl18M9_3/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add0/u16|multl18M9_3/add0/u15 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [16:15]),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add0/c15 ),
.f(\FM_HW/FM_Demodulation/multl18M9_3/n1 [16:15]),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add0/u0|multl18M9_3/add0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add0/u17_al_u727 (
.a({open_n12962,1'b0}),
.b({open_n12963,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [17]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add0/c17 ),
.f({open_n12982,\FM_HW/FM_Demodulation/multl18M9_3/n1 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add0/u0|multl18M9_3/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add0/u2|multl18M9_3/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [2:1]),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add0/c1 ),
.f(\FM_HW/FM_Demodulation/multl18M9_3/n1 [2:1]),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add0/u0|multl18M9_3/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add0/u4|multl18M9_3/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [4:3]),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add0/c3 ),
.f(\FM_HW/FM_Demodulation/multl18M9_3/n1 [4:3]),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add0/u0|multl18M9_3/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add0/u6|multl18M9_3/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [6:5]),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add0/c5 ),
.f(\FM_HW/FM_Demodulation/multl18M9_3/n1 [6:5]),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add0/u0|multl18M9_3/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add0/u8|multl18M9_3/add0/u7 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_3_17 [8:7]),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add0/c7 ),
.f(\FM_HW/FM_Demodulation/multl18M9_3/n1 [8:7]),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add0/c9 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add2_2/ucin_al_u671"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add2_2/u11_al_u674 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_3/n6 [13],\FM_HW/FM_Demodulation/multl18M9_3/n6 [11]}),
.e({\FM_HW/FM_Demodulation/multl18M9_3/n6 [14],\FM_HW/FM_Demodulation/multl18M9_3/n6 [12]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add2_2/c11 ),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n9 [13],\FM_HW/FM_Demodulation/multl18M9_3/n9 [11]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add2_2/c15 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_3/n9 [14],\FM_HW/FM_Demodulation/multl18M9_3/n9 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add2_2/ucin_al_u671"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add2_2/u15_al_u675 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_3/n6 [17],\FM_HW/FM_Demodulation/multl18M9_3/n6 [15]}),
.e({\FM_HW/FM_Demodulation/multl18M9_3/n6 [18],\FM_HW/FM_Demodulation/multl18M9_3/n6 [16]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add2_2/c15 ),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n9 [17],\FM_HW/FM_Demodulation/multl18M9_3/n9 [15]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add2_2/c19 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_3/n9 [18],\FM_HW/FM_Demodulation/multl18M9_3/n9 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add2_2/ucin_al_u671"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add2_2/u19_al_u676 (
.a({open_n13112,1'b0}),
.b({open_n13113,1'b0}),
.c(2'b11),
.d({open_n13116,\FM_HW/FM_Demodulation/multl18M9_3/n6 [19]}),
.e({open_n13117,\FM_HW/FM_Demodulation/multl18M9_3/n6 [20]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add2_2/c19 ),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n9 [26],\FM_HW/FM_Demodulation/multl18M9_3/n9 [19]}),
.fx({open_n13133,\FM_HW/FM_Demodulation/multl18M9_3/n9 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add2_2/ucin_al_u671"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add2_2/u3_al_u672 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_3/n6 [5],\FM_HW/FM_Demodulation/multl18M9_3/n6 [3]}),
.e({\FM_HW/FM_Demodulation/multl18M9_3/n6 [6],\FM_HW/FM_Demodulation/multl18M9_3/n6 [4]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add2_2/c3 ),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n9 [5],\FM_HW/FM_Demodulation/multl18M9_3/n9 [3]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add2_2/c7 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_3/n9 [6],\FM_HW/FM_Demodulation/multl18M9_3/n9 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add2_2/ucin_al_u671"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add2_2/u7_al_u673 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_3/n6 [9],\FM_HW/FM_Demodulation/multl18M9_3/n6 [7]}),
.e({\FM_HW/FM_Demodulation/multl18M9_3/n6 [10],\FM_HW/FM_Demodulation/multl18M9_3/n6 [8]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_3/add2_2/c7 ),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n9 [9],\FM_HW/FM_Demodulation/multl18M9_3/n9 [7]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add2_2/c11 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_3/n9 [10],\FM_HW/FM_Demodulation/multl18M9_3/n9 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_3/add2_2/ucin_al_u671"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_3/add2_2/ucin_al_u671 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_3/n6 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/multl18M9_3/n6 [2],\FM_HW/FM_Demodulation/multl18M9_3/n6 [0]}),
.f({\FM_HW/FM_Demodulation/multl18M9_3/n9 [1],open_n13189}),
.fco(\FM_HW/FM_Demodulation/multl18M9_3/add2_2/c3 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_3/n9 [2],\FM_HW/FM_Demodulation/multl18M9_3/n9 [0]}));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/multl18M9_3/mult0_ (
.a(\FM_HW/FM_Demodulation/multl18M9_3/n2 ),
.b(18'b000000000000000101),
.p({open_n13275,open_n13276,open_n13277,open_n13278,open_n13279,open_n13280,open_n13281,open_n13282,open_n13283,open_n13284,open_n13285,open_n13286,open_n13287,open_n13288,open_n13289,\FM_HW/FM_Demodulation/multl18M9_3/n6 [20:0]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add0/u0|multl18M9_4/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add0/u0|multl18M9_4/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [0],open_n13290}),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n1 [0],open_n13310}),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add0/u0|multl18M9_4/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add0/u10|multl18M9_4/add0/u9 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [10:9]),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add0/c9 ),
.f(\FM_HW/FM_Demodulation/multl18M9_4/n1 [10:9]),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add0/u0|multl18M9_4/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add0/u12|multl18M9_4/add0/u11 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [12:11]),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add0/c11 ),
.f(\FM_HW/FM_Demodulation/multl18M9_4/n1 [12:11]),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add0/u0|multl18M9_4/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add0/u14|multl18M9_4/add0/u13 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [14:13]),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add0/c13 ),
.f(\FM_HW/FM_Demodulation/multl18M9_4/n1 [14:13]),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add0/u0|multl18M9_4/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add0/u16|multl18M9_4/add0/u15 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [16:15]),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add0/c15 ),
.f(\FM_HW/FM_Demodulation/multl18M9_4/n1 [16:15]),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add0/u0|multl18M9_4/add0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add0/u17_al_u728 (
.a({open_n13403,1'b0}),
.b({open_n13404,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [17]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add0/c17 ),
.f({open_n13423,\FM_HW/FM_Demodulation/multl18M9_4/n1 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add0/u0|multl18M9_4/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add0/u2|multl18M9_4/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [2:1]),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add0/c1 ),
.f(\FM_HW/FM_Demodulation/multl18M9_4/n1 [2:1]),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add0/u0|multl18M9_4/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add0/u4|multl18M9_4/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [4:3]),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add0/c3 ),
.f(\FM_HW/FM_Demodulation/multl18M9_4/n1 [4:3]),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add0/u0|multl18M9_4/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add0/u6|multl18M9_4/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [6:5]),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add0/c5 ),
.f(\FM_HW/FM_Demodulation/multl18M9_4/n1 [6:5]),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add0/u0|multl18M9_4/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add0/u8|multl18M9_4/add0/u7 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_4_16 [8:7]),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add0/c7 ),
.f(\FM_HW/FM_Demodulation/multl18M9_4/n1 [8:7]),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add0/c9 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add2_2/ucin_al_u677"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add2_2/u11_al_u680 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_4/n6 [13],\FM_HW/FM_Demodulation/multl18M9_4/n6 [11]}),
.e({\FM_HW/FM_Demodulation/multl18M9_4/n6 [14],\FM_HW/FM_Demodulation/multl18M9_4/n6 [12]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add2_2/c11 ),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n9 [13],\FM_HW/FM_Demodulation/multl18M9_4/n9 [11]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add2_2/c15 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_4/n9 [14],\FM_HW/FM_Demodulation/multl18M9_4/n9 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add2_2/ucin_al_u677"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add2_2/u15_al_u681 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_4/n6 [17],\FM_HW/FM_Demodulation/multl18M9_4/n6 [15]}),
.e({\FM_HW/FM_Demodulation/multl18M9_4/n6 [18],\FM_HW/FM_Demodulation/multl18M9_4/n6 [16]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add2_2/c15 ),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n9 [17],\FM_HW/FM_Demodulation/multl18M9_4/n9 [15]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add2_2/c19 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_4/n9 [18],\FM_HW/FM_Demodulation/multl18M9_4/n9 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add2_2/ucin_al_u677"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add2_2/u19_al_u682 (
.a({open_n13553,1'b0}),
.b({open_n13554,1'b0}),
.c(2'b11),
.d({open_n13557,\FM_HW/FM_Demodulation/multl18M9_4/n6 [19]}),
.e({open_n13558,\FM_HW/FM_Demodulation/multl18M9_4/n6 [20]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add2_2/c19 ),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n9 [26],\FM_HW/FM_Demodulation/multl18M9_4/n9 [19]}),
.fx({open_n13574,\FM_HW/FM_Demodulation/multl18M9_4/n9 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add2_2/ucin_al_u677"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add2_2/u3_al_u678 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_4/n6 [5],\FM_HW/FM_Demodulation/multl18M9_4/n6 [3]}),
.e({\FM_HW/FM_Demodulation/multl18M9_4/n6 [6],\FM_HW/FM_Demodulation/multl18M9_4/n6 [4]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add2_2/c3 ),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n9 [5],\FM_HW/FM_Demodulation/multl18M9_4/n9 [3]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add2_2/c7 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_4/n9 [6],\FM_HW/FM_Demodulation/multl18M9_4/n9 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add2_2/ucin_al_u677"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add2_2/u7_al_u679 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_4/n6 [9],\FM_HW/FM_Demodulation/multl18M9_4/n6 [7]}),
.e({\FM_HW/FM_Demodulation/multl18M9_4/n6 [10],\FM_HW/FM_Demodulation/multl18M9_4/n6 [8]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_4/add2_2/c7 ),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n9 [9],\FM_HW/FM_Demodulation/multl18M9_4/n9 [7]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add2_2/c11 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_4/n9 [10],\FM_HW/FM_Demodulation/multl18M9_4/n9 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_4/add2_2/ucin_al_u677"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_4/add2_2/ucin_al_u677 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_4/n6 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/multl18M9_4/n6 [2],\FM_HW/FM_Demodulation/multl18M9_4/n6 [0]}),
.f({\FM_HW/FM_Demodulation/multl18M9_4/n9 [1],open_n13630}),
.fco(\FM_HW/FM_Demodulation/multl18M9_4/add2_2/c3 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_4/n9 [2],\FM_HW/FM_Demodulation/multl18M9_4/n9 [0]}));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/multl18M9_4/mult0_ (
.a(\FM_HW/FM_Demodulation/multl18M9_4/n2 ),
.b(18'b000000000000000111),
.p({open_n13716,open_n13717,open_n13718,open_n13719,open_n13720,open_n13721,open_n13722,open_n13723,open_n13724,open_n13725,open_n13726,open_n13727,open_n13728,open_n13729,open_n13730,\FM_HW/FM_Demodulation/multl18M9_4/n6 [20:0]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add0/u0|multl18M9_5/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add0/u0|multl18M9_5/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [0],open_n13731}),
.f({\FM_HW/FM_Demodulation/multl18M9_5/n1 [0],open_n13751}),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add0/u0|multl18M9_5/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add0/u10|multl18M9_5/add0/u9 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [10:9]),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add0/c9 ),
.f(\FM_HW/FM_Demodulation/multl18M9_5/n1 [10:9]),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add0/u0|multl18M9_5/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add0/u12|multl18M9_5/add0/u11 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [12:11]),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add0/c11 ),
.f(\FM_HW/FM_Demodulation/multl18M9_5/n1 [12:11]),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add0/u0|multl18M9_5/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add0/u14|multl18M9_5/add0/u13 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [14:13]),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add0/c13 ),
.f(\FM_HW/FM_Demodulation/multl18M9_5/n1 [14:13]),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add0/u0|multl18M9_5/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add0/u16|multl18M9_5/add0/u15 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [16:15]),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add0/c15 ),
.f(\FM_HW/FM_Demodulation/multl18M9_5/n1 [16:15]),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add0/u0|multl18M9_5/add0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add0/u17_al_u729 (
.a({open_n13844,1'b0}),
.b({open_n13845,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add0/c17 ),
.f({open_n13864,\FM_HW/FM_Demodulation/multl18M9_5/n1 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add0/u0|multl18M9_5/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add0/u2|multl18M9_5/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [2:1]),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add0/c1 ),
.f(\FM_HW/FM_Demodulation/multl18M9_5/n1 [2:1]),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add0/u0|multl18M9_5/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add0/u4|multl18M9_5/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [4:3]),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add0/c3 ),
.f(\FM_HW/FM_Demodulation/multl18M9_5/n1 [4:3]),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add0/u0|multl18M9_5/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add0/u6|multl18M9_5/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [6:5]),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add0/c5 ),
.f(\FM_HW/FM_Demodulation/multl18M9_5/n1 [6:5]),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add0/u0|multl18M9_5/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add0/u8|multl18M9_5/add0/u7 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [8:7]),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add0/c7 ),
.f(\FM_HW/FM_Demodulation/multl18M9_5/n1 [8:7]),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add0/c9 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add2/ucin_al_u683"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add2/u11_al_u686 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_5/n6 [14],\FM_HW/FM_Demodulation/multl18M9_5/n6 [12]}),
.e({\FM_HW/FM_Demodulation/multl18M9_5/n6 [15],\FM_HW/FM_Demodulation/multl18M9_5/n6 [13]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add2/c11 ),
.f({\FM_HW/FM_Demodulation/multl18M9_5/n9 [14],\FM_HW/FM_Demodulation/multl18M9_5/n9 [12]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add2/c15 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_5/n9 [15],\FM_HW/FM_Demodulation/multl18M9_5/n9 [13]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add2/ucin_al_u683"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add2/u15_al_u687 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_5/n6 [18],\FM_HW/FM_Demodulation/multl18M9_5/n6 [16]}),
.e({\FM_HW/FM_Demodulation/multl18M9_5/n6 [19],\FM_HW/FM_Demodulation/multl18M9_5/n6 [17]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add2/c15 ),
.f({\FM_HW/FM_Demodulation/multl18M9_5/n9 [18],\FM_HW/FM_Demodulation/multl18M9_5/n9 [16]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add2/c19 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_5/n9 [19],\FM_HW/FM_Demodulation/multl18M9_5/n9 [17]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add2/ucin_al_u683"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add2/u19_al_u688 (
.a({open_n13994,1'b0}),
.b({open_n13995,1'b0}),
.c(2'b11),
.d({open_n13998,\FM_HW/FM_Demodulation/multl18M9_5/n6 [20]}),
.e({open_n13999,\FM_HW/FM_Demodulation/multl18M9_5/n6 [21]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add2/c19 ),
.f({\FM_HW/FM_Demodulation/multl18M9_5/n9 [26],\FM_HW/FM_Demodulation/multl18M9_5/n9 [20]}),
.fx({open_n14015,\FM_HW/FM_Demodulation/multl18M9_5/n9 [21]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add2/ucin_al_u683"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add2/u3_al_u684 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_5/n6 [6],\FM_HW/FM_Demodulation/multl18M9_5/n6 [4]}),
.e({\FM_HW/FM_Demodulation/multl18M9_5/n6 [7],\FM_HW/FM_Demodulation/multl18M9_5/n6 [5]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add2/c3 ),
.f({\FM_HW/FM_Demodulation/multl18M9_5/n9 [6],\FM_HW/FM_Demodulation/multl18M9_5/n9 [4]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add2/c7 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_5/n9 [7],\FM_HW/FM_Demodulation/multl18M9_5/n9 [5]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add2/ucin_al_u683"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add2/u7_al_u685 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_5/n6 [10],\FM_HW/FM_Demodulation/multl18M9_5/n6 [8]}),
.e({\FM_HW/FM_Demodulation/multl18M9_5/n6 [11],\FM_HW/FM_Demodulation/multl18M9_5/n6 [9]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_5/add2/c7 ),
.f({\FM_HW/FM_Demodulation/multl18M9_5/n9 [10],\FM_HW/FM_Demodulation/multl18M9_5/n9 [8]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add2/c11 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_5/n9 [11],\FM_HW/FM_Demodulation/multl18M9_5/n9 [9]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_5/add2/ucin_al_u683"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_5/add2/ucin_al_u683 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_5/n6 [2],1'b1}),
.e({\FM_HW/FM_Demodulation/multl18M9_5/n6 [3],\FM_HW/FM_Demodulation/multl18M9_5/n6 [1]}),
.f({\FM_HW/FM_Demodulation/multl18M9_5/n9 [2],open_n14071}),
.fco(\FM_HW/FM_Demodulation/multl18M9_5/add2/c3 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_5/n9 [3],\FM_HW/FM_Demodulation/multl18M9_5/n9 [1]}));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/multl18M9_5/mult0_ (
.a(\FM_HW/FM_Demodulation/multl18M9_5/n2 ),
.b(18'b000000000000000101),
.p({open_n14157,open_n14158,open_n14159,open_n14160,open_n14161,open_n14162,open_n14163,open_n14164,open_n14165,open_n14166,open_n14167,open_n14168,open_n14169,open_n14170,open_n14171,\FM_HW/FM_Demodulation/multl18M9_5/n6 [21:1]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add0/u0|multl18M9_6/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/multl18M9_6/add0/u0|multl18M9_6/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [0],open_n14172}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[6] [13:12]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_6/n1 [0],open_n14188}),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add0/c1 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[5] [13:12]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add0/u0|multl18M9_6/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add0/u10|multl18M9_6/add0/u9 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [10:9]),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add0/c9 ),
.f(\FM_HW/FM_Demodulation/multl18M9_6/n1 [10:9]),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add0/u0|multl18M9_6/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add0/u12|multl18M9_6/add0/u11 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [12:11]),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add0/c11 ),
.f(\FM_HW/FM_Demodulation/multl18M9_6/n1 [12:11]),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add0/u0|multl18M9_6/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add0/u14|multl18M9_6/add0/u13 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [14:13]),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add0/c13 ),
.f(\FM_HW/FM_Demodulation/multl18M9_6/n1 [14:13]),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add0/u0|multl18M9_6/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add0/u16|multl18M9_6/add0/u15 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [16:15]),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add0/c15 ),
.f(\FM_HW/FM_Demodulation/multl18M9_6/n1 [16:15]),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add0/u0|multl18M9_6/add0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add0/u17_al_u730 (
.a({open_n14279,1'b0}),
.b({open_n14280,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add0/c17 ),
.f({open_n14299,\FM_HW/FM_Demodulation/multl18M9_6/n1 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add0/u0|multl18M9_6/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add0/u2|multl18M9_6/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [2:1]),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add0/c1 ),
.f(\FM_HW/FM_Demodulation/multl18M9_6/n1 [2:1]),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add0/u0|multl18M9_6/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add0/u4|multl18M9_6/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [4:3]),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add0/c3 ),
.f(\FM_HW/FM_Demodulation/multl18M9_6/n1 [4:3]),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add0/u0|multl18M9_6/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add0/u6|multl18M9_6/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [6:5]),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add0/c5 ),
.f(\FM_HW/FM_Demodulation/multl18M9_6/n1 [6:5]),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add0/u0|multl18M9_6/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add0/u8|multl18M9_6/add0/u7 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [8:7]),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add0/c7 ),
.f(\FM_HW/FM_Demodulation/multl18M9_6/n1 [8:7]),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add0/c9 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add2_2/ucin_al_u653"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add2_2/u11_al_u656 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_6/n6 [13],\FM_HW/FM_Demodulation/multl18M9_6/n6 [11]}),
.e({\FM_HW/FM_Demodulation/multl18M9_6/n6 [14],\FM_HW/FM_Demodulation/multl18M9_6/n6 [12]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add2_2/c11 ),
.f({\FM_HW/FM_Demodulation/multl18M9_6/n9 [13],\FM_HW/FM_Demodulation/multl18M9_6/n9 [11]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add2_2/c15 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_6/n9 [14],\FM_HW/FM_Demodulation/multl18M9_6/n9 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add2_2/ucin_al_u653"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add2_2/u15_al_u657 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_6/n6 [17],\FM_HW/FM_Demodulation/multl18M9_6/n6 [15]}),
.e({\FM_HW/FM_Demodulation/multl18M9_6/n6 [18],\FM_HW/FM_Demodulation/multl18M9_6/n6 [16]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add2_2/c15 ),
.f({\FM_HW/FM_Demodulation/multl18M9_6/n9 [17],\FM_HW/FM_Demodulation/multl18M9_6/n9 [15]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add2_2/c19 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_6/n9 [18],\FM_HW/FM_Demodulation/multl18M9_6/n9 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add2_2/ucin_al_u653"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add2_2/u19_al_u658 (
.a(2'b00),
.b({open_n14429,1'b0}),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_6/n6 [21],\FM_HW/FM_Demodulation/multl18M9_6/n6 [19]}),
.e({open_n14432,\FM_HW/FM_Demodulation/multl18M9_6/n6 [20]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add2_2/c19 ),
.f({\FM_HW/FM_Demodulation/multl18M9_6/n9 [21],\FM_HW/FM_Demodulation/multl18M9_6/n9 [19]}),
.fx({\FM_HW/FM_Demodulation/multl18M9_6/n9 [26],\FM_HW/FM_Demodulation/multl18M9_6/n9 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add2_2/ucin_al_u653"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add2_2/u3_al_u654 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_6/n6 [5],\FM_HW/FM_Demodulation/multl18M9_6/n6 [3]}),
.e({\FM_HW/FM_Demodulation/multl18M9_6/n6 [6],\FM_HW/FM_Demodulation/multl18M9_6/n6 [4]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add2_2/c3 ),
.f({\FM_HW/FM_Demodulation/multl18M9_6/n9 [5],\FM_HW/FM_Demodulation/multl18M9_6/n9 [3]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add2_2/c7 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_6/n9 [6],\FM_HW/FM_Demodulation/multl18M9_6/n9 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add2_2/ucin_al_u653"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_6/add2_2/u7_al_u655 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_6/n6 [9],\FM_HW/FM_Demodulation/multl18M9_6/n6 [7]}),
.e({\FM_HW/FM_Demodulation/multl18M9_6/n6 [10],\FM_HW/FM_Demodulation/multl18M9_6/n6 [8]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_6/add2_2/c7 ),
.f({\FM_HW/FM_Demodulation/multl18M9_6/n9 [9],\FM_HW/FM_Demodulation/multl18M9_6/n9 [7]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add2_2/c11 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_6/n9 [10],\FM_HW/FM_Demodulation/multl18M9_6/n9 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_6/add2_2/ucin_al_u653"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/multl18M9_6/add2_2/ucin_al_u653 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/multl18M9_6/n6 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/multl18M9_6/n6 [2],\FM_HW/FM_Demodulation/multl18M9_6/n6 [0]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[9] [1:0]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_6/n9 [1],open_n14499}),
.fco(\FM_HW/FM_Demodulation/multl18M9_6/add2_2/c3 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_6/n9 [2],\FM_HW/FM_Demodulation/multl18M9_6/n9 [0]}),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[8] [1:0]));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/multl18M9_6/mult0_ (
.a(\FM_HW/FM_Demodulation/multl18M9_6/n2 ),
.b(18'b000000000000001101),
.p({open_n14583,open_n14584,open_n14585,open_n14586,open_n14587,open_n14588,open_n14589,open_n14590,open_n14591,open_n14592,open_n14593,open_n14594,open_n14595,open_n14596,\FM_HW/FM_Demodulation/multl18M9_6/n6 [21:0]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add0/u0|multl18M9_7/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add0/u0|multl18M9_7/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [0],open_n14597}),
.f({\FM_HW/FM_Demodulation/multl18M9_7/n1 [0],open_n14617}),
.fco(\FM_HW/FM_Demodulation/multl18M9_7/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add0/u0|multl18M9_7/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add0/u10|multl18M9_7/add0/u9 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [10:9]),
.fci(\FM_HW/FM_Demodulation/multl18M9_7/add0/c9 ),
.f(\FM_HW/FM_Demodulation/multl18M9_7/n1 [10:9]),
.fco(\FM_HW/FM_Demodulation/multl18M9_7/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add0/u0|multl18M9_7/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add0/u12|multl18M9_7/add0/u11 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [12:11]),
.fci(\FM_HW/FM_Demodulation/multl18M9_7/add0/c11 ),
.f(\FM_HW/FM_Demodulation/multl18M9_7/n1 [12:11]),
.fco(\FM_HW/FM_Demodulation/multl18M9_7/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add0/u0|multl18M9_7/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add0/u14|multl18M9_7/add0/u13 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [14:13]),
.fci(\FM_HW/FM_Demodulation/multl18M9_7/add0/c13 ),
.f(\FM_HW/FM_Demodulation/multl18M9_7/n1 [14:13]),
.fco(\FM_HW/FM_Demodulation/multl18M9_7/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add0/u0|multl18M9_7/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add0/u16|multl18M9_7/add0/u15 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [16:15]),
.fci(\FM_HW/FM_Demodulation/multl18M9_7/add0/c15 ),
.f(\FM_HW/FM_Demodulation/multl18M9_7/n1 [16:15]),
.fco(\FM_HW/FM_Demodulation/multl18M9_7/add0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add0/u0|multl18M9_7/add0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add0/u17_al_u731 (
.a({open_n14710,1'b0}),
.b({open_n14711,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_7/add0/c17 ),
.f({open_n14730,\FM_HW/FM_Demodulation/multl18M9_7/n1 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add0/u0|multl18M9_7/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add0/u2|multl18M9_7/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [2:1]),
.fci(\FM_HW/FM_Demodulation/multl18M9_7/add0/c1 ),
.f(\FM_HW/FM_Demodulation/multl18M9_7/n1 [2:1]),
.fco(\FM_HW/FM_Demodulation/multl18M9_7/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add0/u0|multl18M9_7/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add0/u4|multl18M9_7/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [4:3]),
.fci(\FM_HW/FM_Demodulation/multl18M9_7/add0/c3 ),
.f(\FM_HW/FM_Demodulation/multl18M9_7/n1 [4:3]),
.fco(\FM_HW/FM_Demodulation/multl18M9_7/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add0/u0|multl18M9_7/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add0/u6|multl18M9_7/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [6:5]),
.fci(\FM_HW/FM_Demodulation/multl18M9_7/add0/c5 ),
.f(\FM_HW/FM_Demodulation/multl18M9_7/n1 [6:5]),
.fco(\FM_HW/FM_Demodulation/multl18M9_7/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add0/u0|multl18M9_7/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add0/u8|multl18M9_7/add0/u7 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [8:7]),
.fci(\FM_HW/FM_Demodulation/multl18M9_7/add0/c7 ),
.f(\FM_HW/FM_Demodulation/multl18M9_7/n1 [8:7]),
.fco(\FM_HW/FM_Demodulation/multl18M9_7/add0/c9 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add2_2/ucin_al_u705"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add2_2/u11_al_u708 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_7/n2 [13],\FM_HW/FM_Demodulation/multl18M9_7/n2 [11]}),
.e({\FM_HW/FM_Demodulation/multl18M9_7/n2 [14],\FM_HW/FM_Demodulation/multl18M9_7/n2 [12]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_7/add2_2/c11 ),
.f({\FM_HW/FM_Demodulation/multl18M9_7/n9 [17],\FM_HW/FM_Demodulation/multl18M9_7/n9 [15]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_7/add2_2/c15 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_7/n9 [18],\FM_HW/FM_Demodulation/multl18M9_7/n9 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add2_2/ucin_al_u705"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add2_2/u15_al_u709 (
.a(2'b00),
.b({open_n14842,1'b0}),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_7/n2 [17],\FM_HW/FM_Demodulation/multl18M9_7/n2 [15]}),
.e({open_n14845,\FM_HW/FM_Demodulation/multl18M9_7/n2 [16]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_7/add2_2/c15 ),
.f({\FM_HW/FM_Demodulation/multl18M9_7/n9 [21],\FM_HW/FM_Demodulation/multl18M9_7/n9 [19]}),
.fx({\FM_HW/FM_Demodulation/multl18M9_7/n9 [22],\FM_HW/FM_Demodulation/multl18M9_7/n9 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add2_2/ucin_al_u705"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add2_2/u3_al_u706 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_7/n2 [5],\FM_HW/FM_Demodulation/multl18M9_7/n2 [3]}),
.e({\FM_HW/FM_Demodulation/multl18M9_7/n2 [6],\FM_HW/FM_Demodulation/multl18M9_7/n2 [4]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_7/add2_2/c3 ),
.f({\FM_HW/FM_Demodulation/multl18M9_7/n9 [9],\FM_HW/FM_Demodulation/multl18M9_7/n9 [7]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_7/add2_2/c7 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_7/n9 [10],\FM_HW/FM_Demodulation/multl18M9_7/n9 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add2_2/ucin_al_u705"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add2_2/u7_al_u707 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_7/n2 [9],\FM_HW/FM_Demodulation/multl18M9_7/n2 [7]}),
.e({\FM_HW/FM_Demodulation/multl18M9_7/n2 [10],\FM_HW/FM_Demodulation/multl18M9_7/n2 [8]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_7/add2_2/c7 ),
.f({\FM_HW/FM_Demodulation/multl18M9_7/n9 [13],\FM_HW/FM_Demodulation/multl18M9_7/n9 [11]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_7/add2_2/c11 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_7/n9 [14],\FM_HW/FM_Demodulation/multl18M9_7/n9 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_7/add2_2/ucin_al_u705"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_7/add2_2/ucin_al_u705 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_7/n2 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/multl18M9_7/n2 [2],\FM_HW/FM_Demodulation/multl18M9_7/n2 [0]}),
.f({\FM_HW/FM_Demodulation/multl18M9_7/n9 [5],open_n14916}),
.fco(\FM_HW/FM_Demodulation/multl18M9_7/add2_2/c3 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_7/n9 [6],\FM_HW/FM_Demodulation/multl18M9_7/n9 [4]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add0/u0|multl18M9_8/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/multl18M9_8/add0/u0|multl18M9_8/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [0],open_n14919}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[12] [10:9]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n1 [0],open_n14935}),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add0/c1 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[11] [10:9]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add0/u0|multl18M9_8/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add0/u10|multl18M9_8/add0/u9 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [10:9]),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add0/c9 ),
.f(\FM_HW/FM_Demodulation/multl18M9_8/n1 [10:9]),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add0/u0|multl18M9_8/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add0/u12|multl18M9_8/add0/u11 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [12:11]),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add0/c11 ),
.f(\FM_HW/FM_Demodulation/multl18M9_8/n1 [12:11]),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add0/u0|multl18M9_8/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add0/u14|multl18M9_8/add0/u13 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [14:13]),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add0/c13 ),
.f(\FM_HW/FM_Demodulation/multl18M9_8/n1 [14:13]),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add0/u0|multl18M9_8/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add0/u16|multl18M9_8/add0/u15 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [16:15]),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add0/c15 ),
.f(\FM_HW/FM_Demodulation/multl18M9_8/n1 [16:15]),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add0/u0|multl18M9_8/add0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add0/u17_al_u732 (
.a({open_n15026,1'b0}),
.b({open_n15027,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add0/c17 ),
.f({open_n15046,\FM_HW/FM_Demodulation/multl18M9_8/n1 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add0/u0|multl18M9_8/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add0/u2|multl18M9_8/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [2:1]),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add0/c1 ),
.f(\FM_HW/FM_Demodulation/multl18M9_8/n1 [2:1]),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add0/u0|multl18M9_8/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add0/u4|multl18M9_8/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [4:3]),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add0/c3 ),
.f(\FM_HW/FM_Demodulation/multl18M9_8/n1 [4:3]),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add0/u0|multl18M9_8/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add0/u6|multl18M9_8/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [6:5]),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add0/c5 ),
.f(\FM_HW/FM_Demodulation/multl18M9_8/n1 [6:5]),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add0/u0|multl18M9_8/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add0/u8|multl18M9_8/add0/u7 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [8:7]),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add0/c7 ),
.f(\FM_HW/FM_Demodulation/multl18M9_8/n1 [8:7]),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add0/c9 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add2/ucin_al_u659"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add2/u11_al_u662 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_8/n6 [14],\FM_HW/FM_Demodulation/multl18M9_8/n6 [12]}),
.e({\FM_HW/FM_Demodulation/multl18M9_8/n6 [15],\FM_HW/FM_Demodulation/multl18M9_8/n6 [13]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add2/c11 ),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n9 [14],\FM_HW/FM_Demodulation/multl18M9_8/n9 [12]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add2/c15 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_8/n9 [15],\FM_HW/FM_Demodulation/multl18M9_8/n9 [13]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add2/ucin_al_u659"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add2/u15_al_u663 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_8/n6 [18],\FM_HW/FM_Demodulation/multl18M9_8/n6 [16]}),
.e({\FM_HW/FM_Demodulation/multl18M9_8/n6 [19],\FM_HW/FM_Demodulation/multl18M9_8/n6 [17]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add2/c15 ),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n9 [18],\FM_HW/FM_Demodulation/multl18M9_8/n9 [16]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add2/c19 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_8/n9 [19],\FM_HW/FM_Demodulation/multl18M9_8/n9 [17]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add2/ucin_al_u659"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add2/u19_al_u664 (
.a(2'b00),
.b({open_n15176,1'b0}),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_8/n6 [22],\FM_HW/FM_Demodulation/multl18M9_8/n6 [20]}),
.e({open_n15179,\FM_HW/FM_Demodulation/multl18M9_8/n6 [21]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add2/c19 ),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n9 [22],\FM_HW/FM_Demodulation/multl18M9_8/n9 [20]}),
.fx({\FM_HW/FM_Demodulation/multl18M9_8/n9 [26],\FM_HW/FM_Demodulation/multl18M9_8/n9 [21]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add2/ucin_al_u659"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add2/u3_al_u660 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_8/n6 [6],\FM_HW/FM_Demodulation/multl18M9_8/n6 [4]}),
.e({\FM_HW/FM_Demodulation/multl18M9_8/n6 [7],\FM_HW/FM_Demodulation/multl18M9_8/n6 [5]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add2/c3 ),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n9 [6],\FM_HW/FM_Demodulation/multl18M9_8/n9 [4]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add2/c7 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_8/n9 [7],\FM_HW/FM_Demodulation/multl18M9_8/n9 [5]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add2/ucin_al_u659"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add2/u7_al_u661 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_8/n6 [10],\FM_HW/FM_Demodulation/multl18M9_8/n6 [8]}),
.e({\FM_HW/FM_Demodulation/multl18M9_8/n6 [11],\FM_HW/FM_Demodulation/multl18M9_8/n6 [9]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_8/add2/c7 ),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n9 [10],\FM_HW/FM_Demodulation/multl18M9_8/n9 [8]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add2/c11 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_8/n9 [11],\FM_HW/FM_Demodulation/multl18M9_8/n9 [9]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_8/add2/ucin_al_u659"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_8/add2/ucin_al_u659 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_8/n6 [2],1'b1}),
.e({\FM_HW/FM_Demodulation/multl18M9_8/n6 [3],\FM_HW/FM_Demodulation/multl18M9_8/n6 [1]}),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n9 [2],open_n15250}),
.fco(\FM_HW/FM_Demodulation/multl18M9_8/add2/c3 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_8/n9 [3],\FM_HW/FM_Demodulation/multl18M9_8/n9 [1]}));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/multl18M9_8/mult0_ (
.a(\FM_HW/FM_Demodulation/multl18M9_8/n2 ),
.b(18'b000000000000001001),
.p({open_n15336,open_n15337,open_n15338,open_n15339,open_n15340,open_n15341,open_n15342,open_n15343,open_n15344,open_n15345,open_n15346,open_n15347,open_n15348,open_n15349,\FM_HW/FM_Demodulation/multl18M9_8/n6 [22:1]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add0/u0|multl18M9_9/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add0/u0|multl18M9_9/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [0],open_n15350}),
.f({\FM_HW/FM_Demodulation/multl18M9_9/n1 [0],open_n15370}),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add0/u0|multl18M9_9/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add0/u10|multl18M9_9/add0/u9 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [10:9]),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add0/c9 ),
.f(\FM_HW/FM_Demodulation/multl18M9_9/n1 [10:9]),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add0/u0|multl18M9_9/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add0/u12|multl18M9_9/add0/u11 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [12:11]),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add0/c11 ),
.f(\FM_HW/FM_Demodulation/multl18M9_9/n1 [12:11]),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add0/u0|multl18M9_9/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add0/u14|multl18M9_9/add0/u13 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [14:13]),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add0/c13 ),
.f(\FM_HW/FM_Demodulation/multl18M9_9/n1 [14:13]),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add0/u0|multl18M9_9/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add0/u16|multl18M9_9/add0/u15 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [16:15]),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add0/c15 ),
.f(\FM_HW/FM_Demodulation/multl18M9_9/n1 [16:15]),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add0/u0|multl18M9_9/add0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add0/u17_al_u733 (
.a({open_n15463,1'b0}),
.b({open_n15464,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add0/c17 ),
.f({open_n15483,\FM_HW/FM_Demodulation/multl18M9_9/n1 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add0/u0|multl18M9_9/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add0/u2|multl18M9_9/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [2:1]),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add0/c1 ),
.f(\FM_HW/FM_Demodulation/multl18M9_9/n1 [2:1]),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add0/u0|multl18M9_9/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add0/u4|multl18M9_9/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [4:3]),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add0/c3 ),
.f(\FM_HW/FM_Demodulation/multl18M9_9/n1 [4:3]),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add0/u0|multl18M9_9/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add0/u6|multl18M9_9/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [6:5]),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add0/c5 ),
.f(\FM_HW/FM_Demodulation/multl18M9_9/n1 [6:5]),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add0/u0|multl18M9_9/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add0/u8|multl18M9_9/add0/u7 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [8:7]),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add0/c7 ),
.f(\FM_HW/FM_Demodulation/multl18M9_9/n1 [8:7]),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add0/c9 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add2_2/ucin_al_u646"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add2_2/u11_al_u649 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_9/n6 [13],\FM_HW/FM_Demodulation/multl18M9_9/n6 [11]}),
.e({\FM_HW/FM_Demodulation/multl18M9_9/n6 [14],\FM_HW/FM_Demodulation/multl18M9_9/n6 [12]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add2_2/c11 ),
.f({\FM_HW/FM_Demodulation/multl18M9_9/n9 [13],\FM_HW/FM_Demodulation/multl18M9_9/n9 [11]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add2_2/c15 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_9/n9 [14],\FM_HW/FM_Demodulation/multl18M9_9/n9 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add2_2/ucin_al_u646"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add2_2/u15_al_u650 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_9/n6 [17],\FM_HW/FM_Demodulation/multl18M9_9/n6 [15]}),
.e({\FM_HW/FM_Demodulation/multl18M9_9/n6 [18],\FM_HW/FM_Demodulation/multl18M9_9/n6 [16]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add2_2/c15 ),
.f({\FM_HW/FM_Demodulation/multl18M9_9/n9 [17],\FM_HW/FM_Demodulation/multl18M9_9/n9 [15]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add2_2/c19 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_9/n9 [18],\FM_HW/FM_Demodulation/multl18M9_9/n9 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add2_2/ucin_al_u646"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add2_2/u19_al_u651 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_9/n6 [21],\FM_HW/FM_Demodulation/multl18M9_9/n6 [19]}),
.e({\FM_HW/FM_Demodulation/multl18M9_9/n6 [22],\FM_HW/FM_Demodulation/multl18M9_9/n6 [20]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add2_2/c19 ),
.f({\FM_HW/FM_Demodulation/multl18M9_9/n9 [21],\FM_HW/FM_Demodulation/multl18M9_9/n9 [19]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add2_2/c23 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_9/n9 [22],\FM_HW/FM_Demodulation/multl18M9_9/n9 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add2_2/ucin_al_u646"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add2_2/u3_al_u647 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_9/n6 [5],\FM_HW/FM_Demodulation/multl18M9_9/n6 [3]}),
.e({\FM_HW/FM_Demodulation/multl18M9_9/n6 [6],\FM_HW/FM_Demodulation/multl18M9_9/n6 [4]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add2_2/c3 ),
.f({\FM_HW/FM_Demodulation/multl18M9_9/n9 [5],\FM_HW/FM_Demodulation/multl18M9_9/n9 [3]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add2_2/c7 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_9/n9 [6],\FM_HW/FM_Demodulation/multl18M9_9/n9 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add2_2/ucin_al_u646"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add2_2/u7_al_u648 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.d({\FM_HW/FM_Demodulation/multl18M9_9/n6 [9],\FM_HW/FM_Demodulation/multl18M9_9/n6 [7]}),
.e({\FM_HW/FM_Demodulation/multl18M9_9/n6 [10],\FM_HW/FM_Demodulation/multl18M9_9/n6 [8]}),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add2_2/c7 ),
.f({\FM_HW/FM_Demodulation/multl18M9_9/n9 [9],\FM_HW/FM_Demodulation/multl18M9_9/n9 [7]}),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add2_2/c11 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_9/n9 [10],\FM_HW/FM_Demodulation/multl18M9_9/n9 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add2_2/ucin_al_u646"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/multl18M9_9/add2_2/ucin_al_u646 (
.a(2'b00),
.b(2'b00),
.c(2'b11),
.clk(\FM_HW/FM_Demodulation/I2S_BCLK_pad_gclk_net ),
.d({\FM_HW/FM_Demodulation/multl18M9_9/n6 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/multl18M9_9/n6 [2],\FM_HW/FM_Demodulation/multl18M9_9/n6 [0]}),
.mi({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [34],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit_2 [32]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_9/n9 [1],open_n15680}),
.fco(\FM_HW/FM_Demodulation/multl18M9_9/add2_2/c3 ),
.fx({\FM_HW/FM_Demodulation/multl18M9_9/n9 [2],\FM_HW/FM_Demodulation/multl18M9_9/n9 [0]}),
.q({\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [34],\FM_HW/FM_Demodulation/I2S_TX/data_add_onebit [32]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multl18M9_9/add2_2/ucin_al_u646"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multl18M9_9/add2_2/ucout_al_u652 (
.c(2'b11),
.fci(\FM_HW/FM_Demodulation/multl18M9_9/add2_2/c23 ),
.f({open_n15705,\FM_HW/FM_Demodulation/multl18M9_9/n9 [26]}));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/multl18M9_9/mult0_ (
.a(\FM_HW/FM_Demodulation/multl18M9_9/n2 ),
.b(18'b000000000000010011),
.p({open_n15794,open_n15795,open_n15796,open_n15797,open_n15798,open_n15799,open_n15800,open_n15801,open_n15802,open_n15803,open_n15804,open_n15805,open_n15806,\FM_HW/FM_Demodulation/multl18M9_9/n6 [22:0]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add0/u0|multlIN/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add0/u0|multlIN/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/IdataN [0],open_n15807}),
.f({\FM_HW/FM_Demodulation/multlIN/n1 [0],open_n15827}),
.fco(\FM_HW/FM_Demodulation/multlIN/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add0/u0|multlIN/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add0/u2|multlIN/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/IdataN [2:1]),
.fci(\FM_HW/FM_Demodulation/multlIN/add0/c1 ),
.f(\FM_HW/FM_Demodulation/multlIN/n1 [2:1]),
.fco(\FM_HW/FM_Demodulation/multlIN/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add0/u0|multlIN/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add0/u4|multlIN/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/IdataN [4:3]),
.fci(\FM_HW/FM_Demodulation/multlIN/add0/c3 ),
.f(\FM_HW/FM_Demodulation/multlIN/n1 [4:3]),
.fco(\FM_HW/FM_Demodulation/multlIN/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add0/u0|multlIN/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add0/u6|multlIN/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/IdataN [6:5]),
.fci(\FM_HW/FM_Demodulation/multlIN/add0/c5 ),
.f(\FM_HW/FM_Demodulation/multlIN/n1 [6:5]),
.fco(\FM_HW/FM_Demodulation/multlIN/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add0/u0|multlIN/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add0/u7_al_u734 (
.a({open_n15898,1'b0}),
.b({open_n15899,\FM_HW/FM_Demodulation/IdataN [7]}),
.fci(\FM_HW/FM_Demodulation/multlIN/add0/c7 ),
.f({open_n15918,\FM_HW/FM_Demodulation/multlIN/n1 [7]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add1/u0|multlIN/add1/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/multlIN/add1/u0|multlIN/add1/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/QdataN_1 [0],open_n15924}),
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.mi({\FM_HW/ADC_Data [7],\FM_HW/ADC_Data [4]}),
.f({\FM_HW/FM_Demodulation/multlIN/n4 [0],open_n15940}),
.fco(\FM_HW/FM_Demodulation/multlIN/add1/c1 ),
.q({\FM_HW/FM_Demodulation/Qdata [3],\FM_HW/FM_Demodulation/Qdata [0]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add1/u0|multlIN/add1/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add1/u2|multlIN/add1/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/QdataN_1 [2:1]),
.fci(\FM_HW/FM_Demodulation/multlIN/add1/c1 ),
.f(\FM_HW/FM_Demodulation/multlIN/n4 [2:1]),
.fco(\FM_HW/FM_Demodulation/multlIN/add1/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add1/u0|multlIN/add1/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add1/u4|multlIN/add1/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/QdataN_1 [4:3]),
.fci(\FM_HW/FM_Demodulation/multlIN/add1/c3 ),
.f(\FM_HW/FM_Demodulation/multlIN/n4 [4:3]),
.fco(\FM_HW/FM_Demodulation/multlIN/add1/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add1/u0|multlIN/add1/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add1/u6|multlIN/add1/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/QdataN_1 [6:5]),
.fci(\FM_HW/FM_Demodulation/multlIN/add1/c5 ),
.f(\FM_HW/FM_Demodulation/multlIN/n4 [6:5]),
.fco(\FM_HW/FM_Demodulation/multlIN/add1/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add1/u0|multlIN/add1/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add1/u7_al_u735 (
.a({open_n16009,1'b0}),
.b({open_n16010,\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.fci(\FM_HW/FM_Demodulation/multlIN/add1/c7 ),
.f({open_n16029,\FM_HW/FM_Demodulation/multlIN/n4 [7]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add2/u0|multlIN/add2/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add2/u0|multlIN/add2/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/multlIN/n6 [0],open_n16035}),
.f({\FM_HW/FM_Demodulation/multlIN/n9 [0],open_n16055}),
.fco(\FM_HW/FM_Demodulation/multlIN/add2/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add2/u0|multlIN/add2/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add2/u10|multlIN/add2/u9 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlIN/n6 [10:9]),
.fci(\FM_HW/FM_Demodulation/multlIN/add2/c9 ),
.f(\FM_HW/FM_Demodulation/multlIN/n9 [10:9]),
.fco(\FM_HW/FM_Demodulation/multlIN/add2/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add2/u0|multlIN/add2/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add2/u12|multlIN/add2/u11 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlIN/n6 [12:11]),
.fci(\FM_HW/FM_Demodulation/multlIN/add2/c11 ),
.f(\FM_HW/FM_Demodulation/multlIN/n9 [12:11]),
.fco(\FM_HW/FM_Demodulation/multlIN/add2/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add2/u0|multlIN/add2/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add2/u14|multlIN/add2/u13 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlIN/n6 [14:13]),
.fci(\FM_HW/FM_Demodulation/multlIN/add2/c13 ),
.f(\FM_HW/FM_Demodulation/multlIN/n9 [14:13]),
.fco(\FM_HW/FM_Demodulation/multlIN/add2/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add2/u0|multlIN/add2/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add2/u15_al_u736 (
.a({open_n16126,1'b0}),
.b({open_n16127,\FM_HW/FM_Demodulation/multlIN/n6 [15]}),
.fci(\FM_HW/FM_Demodulation/multlIN/add2/c15 ),
.f({open_n16146,\FM_HW/FM_Demodulation/multlIN/n9 [15]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add2/u0|multlIN/add2/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add2/u2|multlIN/add2/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlIN/n6 [2:1]),
.fci(\FM_HW/FM_Demodulation/multlIN/add2/c1 ),
.f(\FM_HW/FM_Demodulation/multlIN/n9 [2:1]),
.fco(\FM_HW/FM_Demodulation/multlIN/add2/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add2/u0|multlIN/add2/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add2/u4|multlIN/add2/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlIN/n6 [4:3]),
.fci(\FM_HW/FM_Demodulation/multlIN/add2/c3 ),
.f(\FM_HW/FM_Demodulation/multlIN/n9 [4:3]),
.fco(\FM_HW/FM_Demodulation/multlIN/add2/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add2/u0|multlIN/add2/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add2/u6|multlIN/add2/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlIN/n6 [6:5]),
.fci(\FM_HW/FM_Demodulation/multlIN/add2/c5 ),
.f(\FM_HW/FM_Demodulation/multlIN/n9 [6:5]),
.fco(\FM_HW/FM_Demodulation/multlIN/add2/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlIN/add2/u0|multlIN/add2/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlIN/add2/u8|multlIN/add2/u7 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlIN/n6 [8:7]),
.fci(\FM_HW/FM_Demodulation/multlIN/add2/c7 ),
.f(\FM_HW/FM_Demodulation/multlIN/n9 [8:7]),
.fco(\FM_HW/FM_Demodulation/multlIN/add2/c9 ));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT9X9C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("1"),
.SIGNEDBMUX("1"))
\FM_HW/FM_Demodulation/multlIN/mult0_multlQN/mult0_ (
.a({1'b0,\FM_HW/FM_Demodulation/multlQN/n2 ,1'b0,\FM_HW/FM_Demodulation/multlIN/n2 }),
.b({1'b0,\FM_HW/FM_Demodulation/multlQN/n5 ,1'b0,\FM_HW/FM_Demodulation/multlIN/n5 }),
.p({open_n16323,open_n16324,\FM_HW/FM_Demodulation/multlQN/n6 ,open_n16325,open_n16326,\FM_HW/FM_Demodulation/multlIN/n6 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add0/u0|multlQN/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/multlQN/add0/u0|multlQN/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/QdataN [0],open_n16327}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi(\FM_HW/FM_Demodulation/Qdata [2:1]),
.f({\FM_HW/FM_Demodulation/multlQN/n1 [0],open_n16343}),
.fco(\FM_HW/FM_Demodulation/multlQN/add0/c1 ),
.q(\FM_HW/FM_Demodulation/IQdatatemp2 [2:1]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add0/u0|multlQN/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add0/u2|multlQN/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/QdataN [2:1]),
.fci(\FM_HW/FM_Demodulation/multlQN/add0/c1 ),
.f(\FM_HW/FM_Demodulation/multlQN/n1 [2:1]),
.fco(\FM_HW/FM_Demodulation/multlQN/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add0/u0|multlQN/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add0/u4|multlQN/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/QdataN [4:3]),
.fci(\FM_HW/FM_Demodulation/multlQN/add0/c3 ),
.f(\FM_HW/FM_Demodulation/multlQN/n1 [4:3]),
.fco(\FM_HW/FM_Demodulation/multlQN/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add0/u0|multlQN/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add0/u6|multlQN/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/QdataN [6:5]),
.fci(\FM_HW/FM_Demodulation/multlQN/add0/c5 ),
.f(\FM_HW/FM_Demodulation/multlQN/n1 [6:5]),
.fco(\FM_HW/FM_Demodulation/multlQN/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add0/u0|multlQN/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add0/u7_al_u737 (
.a({open_n16412,1'b0}),
.b({open_n16413,\FM_HW/FM_Demodulation/QdataN [7]}),
.fci(\FM_HW/FM_Demodulation/multlQN/add0/c7 ),
.f({open_n16432,\FM_HW/FM_Demodulation/multlQN/n1 [7]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add1/u0|multlQN/add1/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/multlQN/add1/u0|multlQN/add1/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/IdataN_1 [0],open_n16438}),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.mi(\FM_HW/FM_Demodulation/IdataN_1 [4:3]),
.f({\FM_HW/FM_Demodulation/multlQN/n4 [0],open_n16454}),
.fco(\FM_HW/FM_Demodulation/multlQN/add1/c1 ),
.q(\FM_HW/FM_Demodulation/IdataN [4:3]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add1/u0|multlQN/add1/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add1/u2|multlQN/add1/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/IdataN_1 [2:1]),
.fci(\FM_HW/FM_Demodulation/multlQN/add1/c1 ),
.f(\FM_HW/FM_Demodulation/multlQN/n4 [2:1]),
.fco(\FM_HW/FM_Demodulation/multlQN/add1/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add1/u0|multlQN/add1/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add1/u4|multlQN/add1/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/IdataN_1 [4:3]),
.fci(\FM_HW/FM_Demodulation/multlQN/add1/c3 ),
.f(\FM_HW/FM_Demodulation/multlQN/n4 [4:3]),
.fco(\FM_HW/FM_Demodulation/multlQN/add1/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add1/u0|multlQN/add1/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add1/u6|multlQN/add1/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/IdataN_1 [6:5]),
.fci(\FM_HW/FM_Demodulation/multlQN/add1/c5 ),
.f(\FM_HW/FM_Demodulation/multlQN/n4 [6:5]),
.fco(\FM_HW/FM_Demodulation/multlQN/add1/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add1/u0|multlQN/add1/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add1/u7_al_u738 (
.a({open_n16523,1'b0}),
.b({open_n16524,\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.fci(\FM_HW/FM_Demodulation/multlQN/add1/c7 ),
.f({open_n16543,\FM_HW/FM_Demodulation/multlQN/n4 [7]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add2/u0|multlQN/add2/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add2/u0|multlQN/add2/ucin (
.a(2'b00),
.b({\FM_HW/FM_Demodulation/multlQN/n6 [0],open_n16549}),
.f({\FM_HW/FM_Demodulation/multlQN/n9 [0],open_n16569}),
.fco(\FM_HW/FM_Demodulation/multlQN/add2/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add2/u0|multlQN/add2/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add2/u10|multlQN/add2/u9 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlQN/n6 [10:9]),
.fci(\FM_HW/FM_Demodulation/multlQN/add2/c9 ),
.f(\FM_HW/FM_Demodulation/multlQN/n9 [10:9]),
.fco(\FM_HW/FM_Demodulation/multlQN/add2/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add2/u0|multlQN/add2/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add2/u12|multlQN/add2/u11 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlQN/n6 [12:11]),
.fci(\FM_HW/FM_Demodulation/multlQN/add2/c11 ),
.f(\FM_HW/FM_Demodulation/multlQN/n9 [12:11]),
.fco(\FM_HW/FM_Demodulation/multlQN/add2/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add2/u0|multlQN/add2/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add2/u14|multlQN/add2/u13 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlQN/n6 [14:13]),
.fci(\FM_HW/FM_Demodulation/multlQN/add2/c13 ),
.f(\FM_HW/FM_Demodulation/multlQN/n9 [14:13]),
.fco(\FM_HW/FM_Demodulation/multlQN/add2/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add2/u0|multlQN/add2/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add2/u15_al_u739 (
.a({open_n16640,1'b0}),
.b({open_n16641,\FM_HW/FM_Demodulation/multlQN/n6 [15]}),
.fci(\FM_HW/FM_Demodulation/multlQN/add2/c15 ),
.f({open_n16660,\FM_HW/FM_Demodulation/multlQN/n9 [15]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add2/u0|multlQN/add2/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add2/u2|multlQN/add2/u1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlQN/n6 [2:1]),
.fci(\FM_HW/FM_Demodulation/multlQN/add2/c1 ),
.f(\FM_HW/FM_Demodulation/multlQN/n9 [2:1]),
.fco(\FM_HW/FM_Demodulation/multlQN/add2/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add2/u0|multlQN/add2/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add2/u4|multlQN/add2/u3 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlQN/n6 [4:3]),
.fci(\FM_HW/FM_Demodulation/multlQN/add2/c3 ),
.f(\FM_HW/FM_Demodulation/multlQN/n9 [4:3]),
.fco(\FM_HW/FM_Demodulation/multlQN/add2/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add2/u0|multlQN/add2/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add2/u6|multlQN/add2/u5 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlQN/n6 [6:5]),
.fci(\FM_HW/FM_Demodulation/multlQN/add2/c5 ),
.f(\FM_HW/FM_Demodulation/multlQN/n9 [6:5]),
.fco(\FM_HW/FM_Demodulation/multlQN/add2/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/multlQN/add2/u0|multlQN/add2/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/multlQN/add2/u8|multlQN/add2/u7 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/multlQN/n6 [8:7]),
.fci(\FM_HW/FM_Demodulation/multlQN/add2/c7 ),
.f(\FM_HW/FM_Demodulation/multlQN/n9 [8:7]),
.fco(\FM_HW/FM_Demodulation/multlQN/add2/c9 ));
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg0_b0|FM_HW/FM_Demodulation/reg14_b0 (
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.mi({\FM_HW/FM_Demodulation/n2 [0],\FM_HW/FM_Demodulation/IdataN_1 [0]}),
.q({\FM_HW/FM_Demodulation/IdataN_1 [0],\FM_HW/FM_Demodulation/IdataN [0]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg0_b1|FM_HW/FM_Demodulation/reg0_b2 (
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.mi({\FM_HW/FM_Demodulation/n2 [1],\FM_HW/FM_Demodulation/n2 [2]}),
.q({\FM_HW/FM_Demodulation/IdataN_1 [1],\FM_HW/FM_Demodulation/IdataN_1 [2]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg0_b3|FM_HW/FM_Demodulation/reg0_b4 (
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.mi({\FM_HW/FM_Demodulation/n2 [3],\FM_HW/FM_Demodulation/n2 [4]}),
.q({\FM_HW/FM_Demodulation/IdataN_1 [3],\FM_HW/FM_Demodulation/IdataN_1 [4]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg0_b5|FM_HW/FM_Demodulation/reg0_b6 (
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.mi({\FM_HW/FM_Demodulation/n2 [5],\FM_HW/FM_Demodulation/n2 [6]}),
.q({\FM_HW/FM_Demodulation/IdataN_1 [5],\FM_HW/FM_Demodulation/IdataN_1 [6]})); // ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*C*~B)*~(~0*A))"),
//.LUTG0("(~(~D*C*~B)*~(~1*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010101000101),
.INIT_LUTG0(16'b1111111111001111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg0_b7 (
.a({open_n16854,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 }),
.b({open_n16855,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel2_b0/B2_0 }),
.c({open_n16856,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o }),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({open_n16857,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [0]}),
.e({open_n16858,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_finish }),
.mi({open_n16860,\FM_HW/FM_Demodulation/n2 [7]}),
.f({open_n16873,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o }),
.q({open_n16877,\FM_HW/FM_Demodulation/IdataN_1 [7]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg11_b0|FM_HW/FM_Demodulation/reg11_b1 (
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [8],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [9]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/demodulated_signal_sample_16bit_temp2 [0],\FM_HW/FM_Demodulation/demodulated_signal_sample_16bit_temp2 [1]})); // ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg11_b10|FM_HW/FM_Demodulation/reg11_b11 (
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [17],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [18]}),
.sr(RSTn_pad),
.q({\FM_HW/demodulated_signal_downsample [7],\FM_HW/demodulated_signal_downsample [8]})); // ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg11_b12|FM_HW/FM_Demodulation/reg11_b7 (
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [19],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [30]}),
.sr(RSTn_pad),
.q({\FM_HW/demodulated_signal_downsample [9],\FM_HW/FM_Demodulation/demodulated_signal_sample_16bit_temp2 [7]})); // ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg11_b13|FM_HW/FM_Demodulation/reg11_b14 (
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [20],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [21]}),
.sr(RSTn_pad),
.q({\FM_HW/demodulated_signal_downsample [10],\FM_HW/demodulated_signal_downsample [11]})); // ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg11_b2|FM_HW/FM_Demodulation/reg11_b4 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [7],\FM_HW/FM_Demodulation/multl18M9_9/n9 [0]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n1 [7],\FM_HW/FM_Demodulation/multl18M9_9/n6 [0]}),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [10],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [12]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_9/n2 [7],\FM_HW/FM_Demodulation/dmd_data_filter_multi_9_11 [0]}),
.q({\FM_HW/demodulated_signal_downsample [0],\FM_HW/demodulated_signal_downsample [2]})); // ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg11_b3|FM_HW/FM_Demodulation/reg11_b5 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [9],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_9/n1 [9],\FM_HW/FM_Demodulation/multl18M9_9/n1 [10]}),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [10]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [11],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [13]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_9/n2 [9],\FM_HW/FM_Demodulation/multl18M9_9/n2 [10]}),
.q({\FM_HW/demodulated_signal_downsample [1],\FM_HW/demodulated_signal_downsample [3]})); // ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg11_b6 (
.c({open_n17026,\FM_HW/FM_Demodulation/multl18M9_9/n1 [17]}),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d({open_n17028,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.mi({open_n17039,\FM_HW/FM_Demodulation/dmd_data_filtered_temp [14]}),
.sr(RSTn_pad),
.f({open_n17040,\FM_HW/FM_Demodulation/multl18M9_9/n2 [17]}),
.q({open_n17044,\FM_HW/demodulated_signal_downsample [4]})); // ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b0|FM_HW/FM_Demodulation/reg12_b2 (
.clk(clk_fm_ethernet_gclk_net),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [0],\FM_HW/FM_Demodulation/IQdatatemp2 [2]}),
.sr(RSTn_pad),
.q({fm_data_ethernet[0],fm_data_ethernet[2]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b12|FM_HW/FM_Demodulation/reg12_b13 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_016 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_017 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_016 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_017 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [12],\FM_HW/FM_Demodulation/IQdatatemp2 [13]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u449_o ,\ethernet_i0/mac_test0/_al_u406_o }),
.q({fm_data_ethernet[12],fm_data_ethernet[13]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b14|FM_HW/FM_Demodulation/reg12_b15 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_016 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_017 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_016 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_017 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [14],\FM_HW/FM_Demodulation/IQdatatemp2 [15]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u451_o ,\ethernet_i0/mac_test0/_al_u408_o }),
.q({fm_data_ethernet[14],fm_data_ethernet[15]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b16|FM_HW/FM_Demodulation/reg12_b17 (
.clk(clk_fm_ethernet_gclk_net),
.mi({\FM_HW/FM_Demodulation/IQdatatemp1 [0],\FM_HW/FM_Demodulation/IQdatatemp1 [1]}),
.sr(RSTn_pad),
.q({fm_data_ethernet[16],fm_data_ethernet[17]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b18|FM_HW/FM_Demodulation/reg12_b19 (
.clk(clk_fm_ethernet_gclk_net),
.mi({\FM_HW/FM_Demodulation/IQdatatemp1 [2],\FM_HW/FM_Demodulation/IQdatatemp1 [3]}),
.sr(RSTn_pad),
.q({fm_data_ethernet[18],fm_data_ethernet[19]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b1|FM_HW/FM_Demodulation/reg12_b10 (
.clk(clk_fm_ethernet_gclk_net),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [1],\FM_HW/FM_Demodulation/IQdatatemp2 [10]}),
.sr(RSTn_pad),
.q({fm_data_ethernet[1],fm_data_ethernet[10]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1110011010100010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b20|FM_HW/FM_Demodulation/reg12_b23 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [13]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [5]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0]}),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp1 [4],\FM_HW/FM_Demodulation/IQdatatemp1 [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1037_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1024_o }),
.q({fm_data_ethernet[20],fm_data_ethernet[23]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110011010100010),
.INIT_LUT1(16'b1110011010100010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b21|FM_HW/FM_Demodulation/reg12_b22 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [4]}),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [12]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp1 [5],\FM_HW/FM_Demodulation/IQdatatemp1 [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1033_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1028_o }),
.q({fm_data_ethernet[21],fm_data_ethernet[22]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b25|FM_HW/FM_Demodulation/reg12_b26 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_024 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_025 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_024 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_025 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp1 [9],\FM_HW/FM_Demodulation/IQdatatemp1 [10]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u455_o ,\ethernet_i0/mac_test0/_al_u412_o }),
.q({fm_data_ethernet[25],fm_data_ethernet[26]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_LSLICE #(
//.LUTF0("~((~D*~C)*~((~B*~A))*~(0)+(~D*~C)*(~B*~A)*~(0)+~((~D*~C))*(~B*~A)*0+(~D*~C)*(~B*~A)*0)"),
//.LUTF1("~((~D*~C)*~((~B*~A))*~(0)+(~D*~C)*(~B*~A)*~(0)+~((~D*~C))*(~B*~A)*0+(~D*~C)*(~B*~A)*0)"),
//.LUTG0("~((~D*~C)*~((~B*~A))*~(1)+(~D*~C)*(~B*~A)*~(1)+~((~D*~C))*(~B*~A)*1+(~D*~C)*(~B*~A)*1)"),
//.LUTG1("~((~D*~C)*~((~B*~A))*~(1)+(~D*~C)*(~B*~A)*~(1)+~((~D*~C))*(~B*~A)*1+(~D*~C)*(~B*~A)*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b1111111111110000),
.INIT_LUTG0(16'b1110111011101110),
.INIT_LUTG1(16'b1110111011101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b27|FM_HW/FM_Demodulation/reg12_b3 (
.a({\ethernet_i0/mac_test0/_al_u364_o ,\ethernet_i0/mac_test0/_al_u321_o }),
.b({\ethernet_i0/mac_test0/_al_u366_o ,\ethernet_i0/mac_test0/_al_u323_o }),
.c({\ethernet_i0/mac_test0/_al_u368_o ,\ethernet_i0/mac_test0/_al_u325_o }),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/_al_u370_o ,\ethernet_i0/mac_test0/_al_u327_o }),
.e({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp1 [11],\FM_HW/FM_Demodulation/IQdatatemp2 [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u371_o ,\ethernet_i0/mac_test0/_al_u328_o }),
.q({fm_data_ethernet[27],fm_data_ethernet[3]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b28|FM_HW/FM_Demodulation/reg12_b31 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_028 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_031 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_028 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_031 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp1 [12],\FM_HW/FM_Demodulation/IQdatatemp1 [15]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u276_o ,\ethernet_i0/mac_test0/_al_u150_o }),
.q({fm_data_ethernet[28],fm_data_ethernet[31]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b29|FM_HW/FM_Demodulation/reg12_b30 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_030 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_030 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp1 [13],\FM_HW/FM_Demodulation/IQdatatemp1 [14]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u232_o ,\ethernet_i0/mac_test0/_al_u193_o }),
.q({fm_data_ethernet[29],fm_data_ethernet[30]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(~C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b4|FM_HW/FM_Demodulation/reg12_b5 (
.b({\ethernet_i0/mac_test0/_al_u279_o ,\ethernet_i0/mac_test0/_al_u235_o }),
.c({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/_al_u277_o ,\ethernet_i0/mac_test0/_al_u233_o }),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [4],\FM_HW/FM_Demodulation/IQdatatemp2 [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u280_o ,\ethernet_i0/mac_test0/_al_u236_o }),
.q({fm_data_ethernet[4],fm_data_ethernet[5]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010100011011),
.INIT_LUTF1(16'b0101010100011011),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b6|FM_HW/FM_Demodulation/reg12_b7 (
.a({\ethernet_i0/mac_test0/_al_u195_o ,\ethernet_i0/mac_test0/_al_u152_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_030 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_031 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_030 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_031 }),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [6],\FM_HW/FM_Demodulation/IQdatatemp2 [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u196_o ,\ethernet_i0/mac_test0/_al_u153_o }),
.q({fm_data_ethernet[6],fm_data_ethernet[7]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010100011011),
.INIT_LUTF1(16'b0101010100011011),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg12_b8|FM_HW/FM_Demodulation/reg12_b9 (
.a({\ethernet_i0/mac_test0/_al_u365_o ,\ethernet_i0/mac_test0/_al_u322_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_018 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_019 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_018 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_019 }),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [8],\FM_HW/FM_Demodulation/IQdatatemp2 [9]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u366_o ,\ethernet_i0/mac_test0/_al_u323_o }),
.q({fm_data_ethernet[8],fm_data_ethernet[9]})); // ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg14_b1|FM_HW/FM_Demodulation/reg14_b2 (
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.mi({\FM_HW/FM_Demodulation/IdataN_1 [1],\FM_HW/FM_Demodulation/IdataN_1 [2]}),
.q({\FM_HW/FM_Demodulation/IdataN [1],\FM_HW/FM_Demodulation/IdataN [2]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg14_b5|FM_HW/FM_Demodulation/reg1_b1 (
.b(\FM_HW/FM_Demodulation/IdataN [5:4]),
.c({\FM_HW/FM_Demodulation/IdataN [7],\FM_HW/FM_Demodulation/IdataN [7]}),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d(\FM_HW/FM_Demodulation/multlIN/n1 [5:4]),
.mi({\FM_HW/FM_Demodulation/IdataN_1 [5],\FM_HW/ADC_Data [5]}),
.f(\FM_HW/FM_Demodulation/multlIN/n2 [5:4]),
.q({\FM_HW/FM_Demodulation/IdataN [5],\FM_HW/FM_Demodulation/Idata [1]})); // ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg14_b6 (
.b({open_n17353,\FM_HW/FM_Demodulation/IdataN [6]}),
.c({open_n17354,\FM_HW/FM_Demodulation/IdataN [7]}),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({open_n17355,\FM_HW/FM_Demodulation/multlIN/n1 [6]}),
.mi({open_n17359,\FM_HW/FM_Demodulation/IdataN_1 [6]}),
.f({open_n17372,\FM_HW/FM_Demodulation/multlIN/n2 [6]}),
.q({open_n17376,\FM_HW/FM_Demodulation/IdataN [6]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg1_b0|FM_HW/FM_Demodulation/reg14_b7 (
.b({\FM_HW/FM_Demodulation/IdataN [3],\FM_HW/FM_Demodulation/IdataN [1]}),
.c({\FM_HW/FM_Demodulation/IdataN [7],\FM_HW/FM_Demodulation/IdataN [7]}),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Demodulation/multlIN/n1 [3],\FM_HW/FM_Demodulation/multlIN/n1 [1]}),
.mi({\FM_HW/ADC_Data [4],\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.f({\FM_HW/FM_Demodulation/multlIN/n2 [3],\FM_HW/FM_Demodulation/multlIN/n2 [1]}),
.q({\FM_HW/FM_Demodulation/Idata [0],\FM_HW/FM_Demodulation/IdataN [7]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg1_b2|FM_HW/FM_Demodulation/reg1_b3 (
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.mi({\FM_HW/ADC_Data [6],\FM_HW/ADC_Data [7]}),
.q({\FM_HW/FM_Demodulation/Idata [2],\FM_HW/FM_Demodulation/Idata [3]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg1_b4|FM_HW/FM_Demodulation/reg1_b7 (
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.mi({\FM_HW/ADC_Data [8],\FM_HW/ADC_Data [11]}),
.q({\FM_HW/FM_Demodulation/Idata [4],\FM_HW/FM_Demodulation/Idata [7]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg1_b5|FM_HW/FM_Demodulation/reg1_b6 (
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.mi({\FM_HW/ADC_Data [9],\FM_HW/ADC_Data [10]}),
.q({\FM_HW/FM_Demodulation/Idata [5],\FM_HW/FM_Demodulation/Idata [6]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg2_b1|FM_HW/FM_Demodulation/reg2_b2 (
.b({\FM_HW/FM_Demodulation/QdataN [5],\FM_HW/FM_Demodulation/QdataN [6]}),
.c({\FM_HW/FM_Demodulation/QdataN [7],\FM_HW/FM_Demodulation/QdataN [7]}),
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Demodulation/multlQN/n1 [5],\FM_HW/FM_Demodulation/multlQN/n1 [6]}),
.mi({\FM_HW/FM_Demodulation/QdataN_1 [1],\FM_HW/FM_Demodulation/QdataN_1 [2]}),
.f({\FM_HW/FM_Demodulation/multlQN/n2 [5],\FM_HW/FM_Demodulation/multlQN/n2 [6]}),
.q({\FM_HW/FM_Demodulation/QdataN [1],\FM_HW/FM_Demodulation/QdataN [2]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg2_b3|FM_HW/FM_Demodulation/reg2_b4 (
.b({\FM_HW/FM_Demodulation/QdataN_1 [3],\FM_HW/FM_Demodulation/QdataN_1 [4]}),
.c({\FM_HW/FM_Demodulation/QdataN_1 [7],\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Demodulation/multlIN/n4 [3],\FM_HW/FM_Demodulation/multlIN/n4 [4]}),
.mi({\FM_HW/FM_Demodulation/QdataN_1 [3],\FM_HW/FM_Demodulation/QdataN_1 [4]}),
.f({\FM_HW/FM_Demodulation/multlIN/n5 [3],\FM_HW/FM_Demodulation/multlIN/n5 [4]}),
.q({\FM_HW/FM_Demodulation/QdataN [3],\FM_HW/FM_Demodulation/QdataN [4]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg2_b5|FM_HW/FM_Demodulation/reg2_b6 (
.b({\FM_HW/FM_Demodulation/QdataN_1 [5],\FM_HW/FM_Demodulation/QdataN_1 [6]}),
.c({\FM_HW/FM_Demodulation/QdataN_1 [7],\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Demodulation/multlIN/n4 [5],\FM_HW/FM_Demodulation/multlIN/n4 [6]}),
.mi({\FM_HW/FM_Demodulation/QdataN_1 [5],\FM_HW/FM_Demodulation/QdataN_1 [6]}),
.f({\FM_HW/FM_Demodulation/multlIN/n5 [5],\FM_HW/FM_Demodulation/multlIN/n5 [6]}),
.q({\FM_HW/FM_Demodulation/QdataN [5],\FM_HW/FM_Demodulation/QdataN [6]})); // ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg3_b0|sub0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg3_b0|sub0/ucin (
.a({\FM_HW/ADC_Data [4],1'b0}),
.b({1'b1,open_n17533}),
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.f({\FM_HW/FM_Demodulation/n2 [0],open_n17551}),
.fco(\FM_HW/FM_Demodulation/sub0/c1 ),
.q({\FM_HW/FM_Demodulation/QdataN_1 [0],open_n17554}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg3_b0|sub0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg3_b2|reg3_b1 (
.a(\FM_HW/ADC_Data [6:5]),
.b(2'b11),
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.fci(\FM_HW/FM_Demodulation/sub0/c1 ),
.f(\FM_HW/FM_Demodulation/n2 [2:1]),
.fco(\FM_HW/FM_Demodulation/sub0/c3 ),
.q(\FM_HW/FM_Demodulation/QdataN_1 [2:1]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg3_b0|sub0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg3_b4|reg3_b3 (
.a(\FM_HW/ADC_Data [8:7]),
.b(2'b11),
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.fci(\FM_HW/FM_Demodulation/sub0/c3 ),
.f(\FM_HW/FM_Demodulation/n2 [4:3]),
.fco(\FM_HW/FM_Demodulation/sub0/c5 ),
.q(\FM_HW/FM_Demodulation/QdataN_1 [4:3]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg3_b0|sub0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg3_b6|reg3_b5 (
.a(\FM_HW/ADC_Data [10:9]),
.b(2'b11),
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.fci(\FM_HW/FM_Demodulation/sub0/c5 ),
.f(\FM_HW/FM_Demodulation/n2 [6:5]),
.fco(\FM_HW/FM_Demodulation/sub0/c7 ),
.q(\FM_HW/FM_Demodulation/QdataN_1 [6:5]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg3_b0|sub0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg3_b7_al_u740 (
.a({open_n17609,\FM_HW/ADC_Data [11]}),
.b({open_n17610,1'b0}),
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.fci(\FM_HW/FM_Demodulation/sub0/c7 ),
.f({open_n17627,\FM_HW/FM_Demodulation/n2 [7]}),
.q({open_n17631,\FM_HW/FM_Demodulation/QdataN_1 [7]}));
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg4_b1|FM_HW/FM_Demodulation/reg2_b7 (
.b({\FM_HW/FM_Demodulation/IdataN_1 [5],\FM_HW/FM_Demodulation/IdataN [2]}),
.c({\FM_HW/FM_Demodulation/IdataN_1 [7],\FM_HW/FM_Demodulation/IdataN [7]}),
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Demodulation/multlQN/n4 [5],\FM_HW/FM_Demodulation/multlIN/n1 [2]}),
.mi({\FM_HW/ADC_Data [5],\FM_HW/FM_Demodulation/QdataN_1 [7]}),
.f({\FM_HW/FM_Demodulation/multlQN/n5 [5],\FM_HW/FM_Demodulation/multlIN/n2 [2]}),
.q({\FM_HW/FM_Demodulation/Qdata [1],\FM_HW/FM_Demodulation/QdataN [7]})); // ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg4_b2 (
.b({open_n17654,\FM_HW/FM_Demodulation/IdataN_1 [6]}),
.c({open_n17655,\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({open_n17656,\FM_HW/FM_Demodulation/multlQN/n4 [6]}),
.mi({open_n17660,\FM_HW/ADC_Data [6]}),
.f({open_n17673,\FM_HW/FM_Demodulation/multlQN/n5 [6]}),
.q({open_n17677,\FM_HW/FM_Demodulation/Qdata [2]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg4_b4|FM_HW/FM_Demodulation/reg4_b5 (
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.mi({\FM_HW/ADC_Data [8],\FM_HW/ADC_Data [9]}),
.q({\FM_HW/FM_Demodulation/Qdata [4],\FM_HW/FM_Demodulation/Qdata [5]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(58)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg4_b6|FM_HW/FM_Demodulation/reg4_b7 (
.ce(\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.mi({\FM_HW/ADC_Data [10],\FM_HW/ADC_Data [11]}),
.q({\FM_HW/FM_Demodulation/Qdata [6],\FM_HW/FM_Demodulation/Qdata [7]})); // ../rtl/demodulation/FM_Demodulation.v(58)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b0|FM_HW/FM_Demodulation/reg5_b21 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [9],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n9 [10],\FM_HW/FM_Demodulation/multl18M9_1/n9 [13]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [12]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[1] [0],\FM_HW/FM_Demodulation/dmd_data_filter[2] [4]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [13]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[0] [0],\FM_HW/FM_Demodulation/dmd_data_filter[1] [4]})); // ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b1 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({open_n17765,\FM_HW/FM_Demodulation/dmd_data_filter[1] [1]}),
.sr(RSTn_pad),
.q({open_n17771,\FM_HW/FM_Demodulation/dmd_data_filter[0] [1]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b100|FM_HW/FM_Demodulation/reg5_b270 (
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [8:7]),
.c(\FM_HW/FM_Demodulation/multl18M9_6/n1 [8:7]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[6] [15],\FM_HW/FM_Demodulation/dmd_data_filter[16] [15]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/multl18M9_6/n2 [8:7]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[5] [15],\FM_HW/FM_Demodulation/dmd_data_filter[15] [15]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b102|FM_HW/FM_Demodulation/reg5_b240 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [0],\FM_HW/FM_Demodulation/dmd_data_filter[15] [2]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [0],\FM_HW/FM_Demodulation/dmd_data_filter[14] [2]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b103|FM_HW/FM_Demodulation/reg5_b223 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [1],\FM_HW/FM_Demodulation/dmd_data_filter[14] [2]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [1],\FM_HW/FM_Demodulation/dmd_data_filter[13] [2]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b104|FM_HW/FM_Demodulation/reg5_b222 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [2],\FM_HW/FM_Demodulation/dmd_data_filter[14] [1]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [2],\FM_HW/FM_Demodulation/dmd_data_filter[13] [1]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b105|FM_HW/FM_Demodulation/reg5_b221 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [3],\FM_HW/FM_Demodulation/dmd_data_filter[14] [0]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [3],\FM_HW/FM_Demodulation/dmd_data_filter[13] [0]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b106|FM_HW/FM_Demodulation/reg5_b108 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [4],\FM_HW/FM_Demodulation/dmd_data_filter[7] [6]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [4],\FM_HW/FM_Demodulation/dmd_data_filter[6] [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b107|FM_HW/FM_Demodulation/reg5_b228 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [5],\FM_HW/FM_Demodulation/dmd_data_filter[14] [7]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [5],\FM_HW/FM_Demodulation/dmd_data_filter[13] [7]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b109|FM_HW/FM_Demodulation/reg5_b230 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [7],\FM_HW/FM_Demodulation/dmd_data_filter[14] [9]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [7],\FM_HW/FM_Demodulation/dmd_data_filter[13] [9]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b10|FM_HW/FM_Demodulation/reg5_b326 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[1] [10],\FM_HW/FM_Demodulation/dmd_data_filter[20] [3]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[0] [10],\FM_HW/FM_Demodulation/dmd_data_filter[19] [3]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b110|FM_HW/FM_Demodulation/reg5_b229 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [8],\FM_HW/FM_Demodulation/dmd_data_filter[14] [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [8],\FM_HW/FM_Demodulation/dmd_data_filter[13] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b111|FM_HW/FM_Demodulation/reg5_b232 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [9],\FM_HW/FM_Demodulation/dmd_data_filter[14] [11]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [9],\FM_HW/FM_Demodulation/dmd_data_filter[13] [11]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b112|FM_HW/FM_Demodulation/reg5_b231 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [10],\FM_HW/FM_Demodulation/dmd_data_filter[14] [10]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [10],\FM_HW/FM_Demodulation/dmd_data_filter[13] [10]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b113|FM_HW/FM_Demodulation/reg5_b101 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [11],\FM_HW/FM_Demodulation/dmd_data_filter[6] [16]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [11],\FM_HW/FM_Demodulation/dmd_data_filter[5] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b114|FM_HW/FM_Demodulation/reg5_b233 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [12],\FM_HW/FM_Demodulation/dmd_data_filter[14] [12]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [12],\FM_HW/FM_Demodulation/dmd_data_filter[13] [12]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b115|FM_HW/FM_Demodulation/reg5_b235 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [13],\FM_HW/FM_Demodulation/dmd_data_filter[14] [14]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [13],\FM_HW/FM_Demodulation/dmd_data_filter[13] [14]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b116|FM_HW/FM_Demodulation/reg5_b234 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [14],\FM_HW/FM_Demodulation/dmd_data_filter[14] [13]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [14],\FM_HW/FM_Demodulation/dmd_data_filter[13] [13]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b117|FM_HW/FM_Demodulation/reg5_b236 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [3],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n9 [4],\FM_HW/FM_Demodulation/multl18M9_1/n9 [2]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [1]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [15],\FM_HW/FM_Demodulation/dmd_data_filter[14] [15]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [4],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [2]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [15],\FM_HW/FM_Demodulation/dmd_data_filter[13] [15]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b118|FM_HW/FM_Demodulation/reg5_b237 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [2],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n9 [3],\FM_HW/FM_Demodulation/multl18M9_1/n9 [1]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [0]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [16],\FM_HW/FM_Demodulation/dmd_data_filter[14] [16]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [3],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [1]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [16],\FM_HW/FM_Demodulation/dmd_data_filter[13] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b11|FM_HW/FM_Demodulation/reg5_b12 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[1] [11],\FM_HW/FM_Demodulation/dmd_data_filter[1] [12]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[0] [11],\FM_HW/FM_Demodulation/dmd_data_filter[0] [12]})); // ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b120 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({open_n18263,\FM_HW/FM_Demodulation/dmd_data_filter[8] [1]}),
.sr(RSTn_pad),
.q({open_n18269,\FM_HW/FM_Demodulation/dmd_data_filter[7] [1]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b121|FM_HW/FM_Demodulation/reg5_b204 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_5/n1 [12:11]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [12:11]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [2],\FM_HW/FM_Demodulation/dmd_data_filter[13] [0]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/multl18M9_5/n2 [12:11]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [2],\FM_HW/FM_Demodulation/dmd_data_filter[12] [0]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b122|FM_HW/FM_Demodulation/reg5_b123 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [3],\FM_HW/FM_Demodulation/dmd_data_filter[8] [4]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [3],\FM_HW/FM_Demodulation/dmd_data_filter[7] [4]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b124|FM_HW/FM_Demodulation/reg5_b125 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [5],\FM_HW/FM_Demodulation/dmd_data_filter[8] [6]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [5],\FM_HW/FM_Demodulation/dmd_data_filter[7] [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b126|FM_HW/FM_Demodulation/reg5_b127 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [7],\FM_HW/FM_Demodulation/dmd_data_filter[8] [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [7],\FM_HW/FM_Demodulation/dmd_data_filter[7] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b128|FM_HW/FM_Demodulation/reg5_b54 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [9],\FM_HW/FM_Demodulation/dmd_data_filter[4] [3]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [9],\FM_HW/FM_Demodulation/dmd_data_filter[3] [3]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b129|FM_HW/FM_Demodulation/reg5_b215 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [10],\FM_HW/FM_Demodulation/dmd_data_filter[13] [11]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [10],\FM_HW/FM_Demodulation/dmd_data_filter[12] [11]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b130|FM_HW/FM_Demodulation/reg5_b217 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [11],\FM_HW/FM_Demodulation/dmd_data_filter[13] [13]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [11],\FM_HW/FM_Demodulation/dmd_data_filter[12] [13]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b131|FM_HW/FM_Demodulation/reg5_b216 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [12],\FM_HW/FM_Demodulation/dmd_data_filter[13] [12]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [12],\FM_HW/FM_Demodulation/dmd_data_filter[12] [12]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b132|FM_HW/FM_Demodulation/reg5_b280 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [13],\FM_HW/FM_Demodulation/dmd_data_filter[17] [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [13],\FM_HW/FM_Demodulation/dmd_data_filter[16] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b133|FM_HW/FM_Demodulation/reg5_b218 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [14],\FM_HW/FM_Demodulation/dmd_data_filter[13] [14]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [14],\FM_HW/FM_Demodulation/dmd_data_filter[12] [14]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b134|FM_HW/FM_Demodulation/reg5_b290 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [15],\FM_HW/FM_Demodulation/dmd_data_filter[18] [1]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [15],\FM_HW/FM_Demodulation/dmd_data_filter[17] [1]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b135|FM_HW/FM_Demodulation/reg5_b220 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [16],\FM_HW/FM_Demodulation/dmd_data_filter[13] [16]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [16],\FM_HW/FM_Demodulation/dmd_data_filter[12] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b138|FM_HW/FM_Demodulation/reg5_b189 (
.b({\FM_HW/FM_Demodulation/multl18M9_6/n9 [0],\FM_HW/FM_Demodulation/multl18M9_6/n9 [3]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n6 [0],\FM_HW/FM_Demodulation/multl18M9_6/n6 [3]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[9] [2],\FM_HW/FM_Demodulation/dmd_data_filter[12] [2]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [0],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [3]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[8] [2],\FM_HW/FM_Demodulation/dmd_data_filter[11] [2]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b139|FM_HW/FM_Demodulation/reg5_b190 (
.b({\FM_HW/FM_Demodulation/multl18M9_6/n9 [9],\FM_HW/FM_Demodulation/multl18M9_6/n9 [13]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n6 [9],\FM_HW/FM_Demodulation/multl18M9_6/n6 [13]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[9] [3],\FM_HW/FM_Demodulation/dmd_data_filter[12] [3]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [9],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [13]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[8] [3],\FM_HW/FM_Demodulation/dmd_data_filter[11] [3]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b13|FM_HW/FM_Demodulation/reg5_b14 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[1] [13],\FM_HW/FM_Demodulation/dmd_data_filter[1] [14]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[0] [13],\FM_HW/FM_Demodulation/dmd_data_filter[0] [14]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b143|FM_HW/FM_Demodulation/reg5_b192 (
.b({\FM_HW/FM_Demodulation/multl18M9_6/n9 [11],\FM_HW/FM_Demodulation/multl18M9_6/n9 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n6 [11],\FM_HW/FM_Demodulation/multl18M9_6/n6 [17]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[9] [7],\FM_HW/FM_Demodulation/dmd_data_filter[12] [5]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [11],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [17]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[8] [7],\FM_HW/FM_Demodulation/dmd_data_filter[11] [5]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b144|FM_HW/FM_Demodulation/reg5_b191 (
.b({\FM_HW/FM_Demodulation/multl18M9_6/n9 [12],\FM_HW/FM_Demodulation/multl18M9_6/n9 [14]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n6 [12],\FM_HW/FM_Demodulation/multl18M9_6/n6 [14]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[9] [8],\FM_HW/FM_Demodulation/dmd_data_filter[12] [4]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [12],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [14]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[8] [8],\FM_HW/FM_Demodulation/dmd_data_filter[11] [4]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b145|FM_HW/FM_Demodulation/reg5_b194 (
.b({\FM_HW/FM_Demodulation/multl18M9_6/n9 [15],\FM_HW/FM_Demodulation/multl18M9_6/n9 [20]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n6 [15],\FM_HW/FM_Demodulation/multl18M9_6/n6 [20]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[9] [9],\FM_HW/FM_Demodulation/dmd_data_filter[12] [7]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [15],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [20]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[8] [9],\FM_HW/FM_Demodulation/dmd_data_filter[11] [7]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b146|FM_HW/FM_Demodulation/reg5_b193 (
.b({\FM_HW/FM_Demodulation/multl18M9_6/n9 [16],\FM_HW/FM_Demodulation/multl18M9_6/n9 [18]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n6 [16],\FM_HW/FM_Demodulation/multl18M9_6/n6 [18]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[9] [10],\FM_HW/FM_Demodulation/dmd_data_filter[12] [6]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [16],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [18]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[8] [10],\FM_HW/FM_Demodulation/dmd_data_filter[11] [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b147|FM_HW/FM_Demodulation/reg5_b148 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[9] [11],\FM_HW/FM_Demodulation/dmd_data_filter[9] [12]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[8] [11],\FM_HW/FM_Demodulation/dmd_data_filter[8] [12]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b149|FM_HW/FM_Demodulation/reg5_b151 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[9] [13],\FM_HW/FM_Demodulation/dmd_data_filter[9] [15]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[8] [13],\FM_HW/FM_Demodulation/dmd_data_filter[8] [15]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b150|FM_HW/FM_Demodulation/reg5_b195 (
.b({\FM_HW/FM_Demodulation/multl18M9_6/n9 [19],\FM_HW/FM_Demodulation/multl18M9_6/n9 [21]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n6 [19],\FM_HW/FM_Demodulation/multl18M9_6/n6 [21]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[9] [14],\FM_HW/FM_Demodulation/dmd_data_filter[12] [8]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [19],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [21]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[8] [14],\FM_HW/FM_Demodulation/dmd_data_filter[11] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b153|FM_HW/FM_Demodulation/reg5_b170 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [0],\FM_HW/FM_Demodulation/dmd_data_filter[11] [0]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [0],\FM_HW/FM_Demodulation/dmd_data_filter[10] [0]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b154|FM_HW/FM_Demodulation/reg5_b172 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [1],\FM_HW/FM_Demodulation/dmd_data_filter[11] [2]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [1],\FM_HW/FM_Demodulation/dmd_data_filter[10] [2]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b155|FM_HW/FM_Demodulation/reg5_b171 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [2],\FM_HW/FM_Demodulation/dmd_data_filter[11] [1]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [2],\FM_HW/FM_Demodulation/dmd_data_filter[10] [1]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b156|FM_HW/FM_Demodulation/reg5_b174 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [3],\FM_HW/FM_Demodulation/dmd_data_filter[11] [4]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [3],\FM_HW/FM_Demodulation/dmd_data_filter[10] [4]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b157|FM_HW/FM_Demodulation/reg5_b173 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [4],\FM_HW/FM_Demodulation/dmd_data_filter[11] [3]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [4],\FM_HW/FM_Demodulation/dmd_data_filter[10] [3]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b158|FM_HW/FM_Demodulation/reg5_b176 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [5],\FM_HW/FM_Demodulation/dmd_data_filter[11] [6]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [5],\FM_HW/FM_Demodulation/dmd_data_filter[10] [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b159|FM_HW/FM_Demodulation/reg5_b175 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [6],\FM_HW/FM_Demodulation/dmd_data_filter[11] [5]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [6],\FM_HW/FM_Demodulation/dmd_data_filter[10] [5]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b15|FM_HW/FM_Demodulation/reg5_b16 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[1] [15],\FM_HW/FM_Demodulation/dmd_data_filter[1] [16]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[0] [15],\FM_HW/FM_Demodulation/dmd_data_filter[0] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b160|FM_HW/FM_Demodulation/reg5_b161 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [7],\FM_HW/FM_Demodulation/dmd_data_filter[10] [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [7],\FM_HW/FM_Demodulation/dmd_data_filter[9] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b164|FM_HW/FM_Demodulation/reg5_b166 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter[10] [3],\FM_HW/FM_Demodulation/dmd_data_filter[10] [4]}),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n1 [3],\FM_HW/FM_Demodulation/multl18M9_10/n1 [4]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [11],\FM_HW/FM_Demodulation/dmd_data_filter[10] [13]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_10/n2 [3],\FM_HW/FM_Demodulation/multl18M9_10/n2 [4]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [11],\FM_HW/FM_Demodulation/dmd_data_filter[9] [13]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b167|FM_HW/FM_Demodulation/reg5_b181 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter[10] [1],\FM_HW/FM_Demodulation/dmd_data_filter[10] [2]}),
.c({\FM_HW/FM_Demodulation/dmd_data_filter[10] [16],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/multl18M9_10/n1 [1],\FM_HW/FM_Demodulation/multl18M9_10/n1 [2]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [14],\FM_HW/FM_Demodulation/dmd_data_filter[11] [11]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_10/n2 [1],\FM_HW/FM_Demodulation/multl18M9_10/n2 [2]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [14],\FM_HW/FM_Demodulation/dmd_data_filter[10] [11]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b168|FM_HW/FM_Demodulation/reg5_b152 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n1 [15],\FM_HW/FM_Demodulation/multl18M9_8/n1 [16]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [15],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [16]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [15],\FM_HW/FM_Demodulation/dmd_data_filter[9] [16]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n2 [15],\FM_HW/FM_Demodulation/multl18M9_8/n2 [16]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [15],\FM_HW/FM_Demodulation/dmd_data_filter[8] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b177|FM_HW/FM_Demodulation/reg5_b169 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [7],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [8]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n1 [7],\FM_HW/FM_Demodulation/multl18M9_8/n1 [8]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[11] [7],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n2 [7],\FM_HW/FM_Demodulation/multl18M9_8/n2 [8]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[10] [7],\FM_HW/FM_Demodulation/dmd_data_filter[9] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b179|FM_HW/FM_Demodulation/reg5_b180 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [2],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_8/n1 [2:1]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [1]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[11] [9],\FM_HW/FM_Demodulation/dmd_data_filter[11] [10]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/multl18M9_8/n2 [2:1]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[10] [9],\FM_HW/FM_Demodulation/dmd_data_filter[10] [10]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b17|FM_HW/FM_Demodulation/reg5_b19 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [8],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n9 [9],\FM_HW/FM_Demodulation/multl18M9_1/n9 [11]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [10]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[2] [0],\FM_HW/FM_Demodulation/dmd_data_filter[2] [2]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [9],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [11]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[1] [0],\FM_HW/FM_Demodulation/dmd_data_filter[1] [2]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b182|FM_HW/FM_Demodulation/reg5_b203 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [9],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n1 [9],\FM_HW/FM_Demodulation/multl18M9_8/n1 [10]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [10]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[11] [12],\FM_HW/FM_Demodulation/dmd_data_filter[12] [16]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n2 [9],\FM_HW/FM_Demodulation/multl18M9_8/n2 [10]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[10] [12],\FM_HW/FM_Demodulation/dmd_data_filter[11] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b183|FM_HW/FM_Demodulation/reg5_b184 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_8/n1 [14:13]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [14:13]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[11] [13],\FM_HW/FM_Demodulation/dmd_data_filter[11] [14]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/multl18M9_8/n2 [14:13]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[10] [13],\FM_HW/FM_Demodulation/dmd_data_filter[10] [14]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b185|FM_HW/FM_Demodulation/reg5_b199 (
.b({open_n19164,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [5]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n1 [17],\FM_HW/FM_Demodulation/multl18M9_8/n1 [5]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[11] [15],\FM_HW/FM_Demodulation/dmd_data_filter[12] [12]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n2 [17],\FM_HW/FM_Demodulation/multl18M9_8/n2 [5]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[10] [15],\FM_HW/FM_Demodulation/dmd_data_filter[11] [12]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b187|FM_HW/FM_Demodulation/reg5_b188 (
.b({\FM_HW/FM_Demodulation/multl18M9_6/n9 [1],\FM_HW/FM_Demodulation/multl18M9_6/n9 [2]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n6 [1],\FM_HW/FM_Demodulation/multl18M9_6/n6 [2]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[12] [0],\FM_HW/FM_Demodulation/dmd_data_filter[12] [1]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [1],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [2]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[11] [0],\FM_HW/FM_Demodulation/dmd_data_filter[11] [1]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b198|FM_HW/FM_Demodulation/reg5_b201 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [6],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [3]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n1 [6],\FM_HW/FM_Demodulation/multl18M9_8/n1 [3]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[12] [11],\FM_HW/FM_Demodulation/dmd_data_filter[12] [14]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n2 [6],\FM_HW/FM_Demodulation/multl18M9_8/n2 [3]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[11] [11],\FM_HW/FM_Demodulation/dmd_data_filter[11] [14]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b200|FM_HW/FM_Demodulation/reg5_b178 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [4],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n1 [4],\FM_HW/FM_Demodulation/multl18M9_8/n1 [0]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [0]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[12] [13],\FM_HW/FM_Demodulation/dmd_data_filter[11] [8]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n2 [4],\FM_HW/FM_Demodulation/multl18M9_8/n2 [0]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[11] [13],\FM_HW/FM_Demodulation/dmd_data_filter[10] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b202|FM_HW/FM_Demodulation/reg5_b186 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_8/n1 [11],\FM_HW/FM_Demodulation/multl18M9_8/n1 [12]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [11],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_8_12 [12]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[12] [15],\FM_HW/FM_Demodulation/dmd_data_filter[11] [16]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_8/n2 [11],\FM_HW/FM_Demodulation/multl18M9_8/n2 [12]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[11] [15],\FM_HW/FM_Demodulation/dmd_data_filter[10] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b205|FM_HW/FM_Demodulation/reg5_b206 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_5/n1 [14:13]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [14:13]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[13] [1],\FM_HW/FM_Demodulation/dmd_data_filter[13] [2]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/multl18M9_5/n2 [14:13]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[12] [1],\FM_HW/FM_Demodulation/dmd_data_filter[12] [2]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b207|FM_HW/FM_Demodulation/reg5_b208 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_5/n1 [16:15]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_5_15 [16:15]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[13] [3],\FM_HW/FM_Demodulation/dmd_data_filter[13] [4]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/multl18M9_5/n2 [16:15]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[12] [3],\FM_HW/FM_Demodulation/dmd_data_filter[12] [4]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b209|FM_HW/FM_Demodulation/reg5_b210 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[13] [5],\FM_HW/FM_Demodulation/dmd_data_filter[13] [6]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[12] [5],\FM_HW/FM_Demodulation/dmd_data_filter[12] [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b20|FM_HW/FM_Demodulation/reg5_b23 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n9 [14],\FM_HW/FM_Demodulation/multl18M9_1/n9 [16]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [13],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [15]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[2] [3],\FM_HW/FM_Demodulation/dmd_data_filter[2] [6]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [16]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[1] [3],\FM_HW/FM_Demodulation/dmd_data_filter[1] [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b211|FM_HW/FM_Demodulation/reg5_b212 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[13] [7],\FM_HW/FM_Demodulation/dmd_data_filter[13] [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[12] [7],\FM_HW/FM_Demodulation/dmd_data_filter[12] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b213|FM_HW/FM_Demodulation/reg5_b214 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[13] [9],\FM_HW/FM_Demodulation/dmd_data_filter[13] [10]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[12] [9],\FM_HW/FM_Demodulation/dmd_data_filter[12] [10]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b219|FM_HW/FM_Demodulation/reg5_b286 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[13] [15],\FM_HW/FM_Demodulation/dmd_data_filter[17] [14]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[12] [15],\FM_HW/FM_Demodulation/dmd_data_filter[16] [14]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b224|FM_HW/FM_Demodulation/reg5_b225 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[14] [3],\FM_HW/FM_Demodulation/dmd_data_filter[14] [4]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[13] [3],\FM_HW/FM_Demodulation/dmd_data_filter[13] [4]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b22|FM_HW/FM_Demodulation/reg5_b314 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n9 [17],\FM_HW/FM_Demodulation/multl18M9_0/n9 [0]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [16],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [0]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[2] [5],\FM_HW/FM_Demodulation/dmd_data_filter[19] [8]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [0]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[1] [5],\FM_HW/FM_Demodulation/dmd_data_filter[18] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b238|FM_HW/FM_Demodulation/reg5_b85 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [0],\FM_HW/FM_Demodulation/dmd_data_filter[6] [0]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [0],\FM_HW/FM_Demodulation/dmd_data_filter[5] [0]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b239|FM_HW/FM_Demodulation/reg5_b86 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [1],\FM_HW/FM_Demodulation/dmd_data_filter[6] [1]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [1],\FM_HW/FM_Demodulation/dmd_data_filter[5] [1]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b241|FM_HW/FM_Demodulation/reg5_b242 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [3],\FM_HW/FM_Demodulation/dmd_data_filter[15] [4]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [3],\FM_HW/FM_Demodulation/dmd_data_filter[14] [4]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b243|FM_HW/FM_Demodulation/reg5_b244 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [5],\FM_HW/FM_Demodulation/dmd_data_filter[15] [6]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [5],\FM_HW/FM_Demodulation/dmd_data_filter[14] [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b245|FM_HW/FM_Demodulation/reg5_b246 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [7],\FM_HW/FM_Demodulation/dmd_data_filter[15] [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [7],\FM_HW/FM_Demodulation/dmd_data_filter[14] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b247|FM_HW/FM_Demodulation/reg5_b90 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [9],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n9 [13],\FM_HW/FM_Demodulation/multl18M9_7/n9 [5]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [1]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [9],\FM_HW/FM_Demodulation/dmd_data_filter[6] [5]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [13],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [5]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [9],\FM_HW/FM_Demodulation/dmd_data_filter[5] [5]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b248|FM_HW/FM_Demodulation/reg5_b250 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n9 [14],\FM_HW/FM_Demodulation/multl18M9_7/n9 [15]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [10],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [11]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [10],\FM_HW/FM_Demodulation/dmd_data_filter[15] [12]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [14],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [15]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [10],\FM_HW/FM_Demodulation/dmd_data_filter[14] [12]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b249|FM_HW/FM_Demodulation/reg5_b252 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n9 [16],\FM_HW/FM_Demodulation/multl18M9_7/n9 [17]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [12],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [13]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [11],\FM_HW/FM_Demodulation/dmd_data_filter[15] [14]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [16],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [17]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [11],\FM_HW/FM_Demodulation/dmd_data_filter[14] [14]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b24|FM_HW/FM_Demodulation/reg5_b25 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[2] [7],\FM_HW/FM_Demodulation/dmd_data_filter[2] [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[1] [7],\FM_HW/FM_Demodulation/dmd_data_filter[1] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b251|FM_HW/FM_Demodulation/reg5_b96 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],open_n19673}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n9 [18],\FM_HW/FM_Demodulation/multl18M9_7/n9 [22]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [14],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [13],\FM_HW/FM_Demodulation/dmd_data_filter[6] [11]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [22]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [13],\FM_HW/FM_Demodulation/dmd_data_filter[5] [11]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b253|FM_HW/FM_Demodulation/reg5_b254 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_7/n9 [20:19]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [16:15]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [15],\FM_HW/FM_Demodulation/dmd_data_filter[15] [16]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [20:19]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [15],\FM_HW/FM_Demodulation/dmd_data_filter[14] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b255|FM_HW/FM_Demodulation/reg5_b68 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[16] [0],\FM_HW/FM_Demodulation/dmd_data_filter[5] [0]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[15] [0],\FM_HW/FM_Demodulation/dmd_data_filter[4] [0]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b256|FM_HW/FM_Demodulation/reg5_b261 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[16] [1],\FM_HW/FM_Demodulation/dmd_data_filter[16] [6]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[15] [1],\FM_HW/FM_Demodulation/dmd_data_filter[15] [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b257 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({open_n19772,\FM_HW/FM_Demodulation/dmd_data_filter[16] [2]}),
.sr(RSTn_pad),
.q({open_n19789,\FM_HW/FM_Demodulation/dmd_data_filter[15] [2]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b258|FM_HW/FM_Demodulation/reg5_b72 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[16] [3],\FM_HW/FM_Demodulation/dmd_data_filter[5] [4]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[15] [3],\FM_HW/FM_Demodulation/dmd_data_filter[4] [4]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b259|FM_HW/FM_Demodulation/reg5_b71 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[16] [4],\FM_HW/FM_Demodulation/dmd_data_filter[5] [3]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[15] [4],\FM_HW/FM_Demodulation/dmd_data_filter[4] [3]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b260|FM_HW/FM_Demodulation/reg5_b265 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[16] [5],\FM_HW/FM_Demodulation/dmd_data_filter[16] [10]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[15] [5],\FM_HW/FM_Demodulation/dmd_data_filter[15] [10]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b262|FM_HW/FM_Demodulation/reg5_b76 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[16] [7],\FM_HW/FM_Demodulation/dmd_data_filter[5] [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[15] [7],\FM_HW/FM_Demodulation/dmd_data_filter[4] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b263|FM_HW/FM_Demodulation/reg5_b75 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[16] [8],\FM_HW/FM_Demodulation/dmd_data_filter[5] [7]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[15] [8],\FM_HW/FM_Demodulation/dmd_data_filter[4] [7]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b264|FM_HW/FM_Demodulation/reg5_b266 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[16] [9],\FM_HW/FM_Demodulation/dmd_data_filter[16] [11]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[15] [9],\FM_HW/FM_Demodulation/dmd_data_filter[15] [11]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b267|FM_HW/FM_Demodulation/reg5_b79 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [6],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [3]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n1 [6],\FM_HW/FM_Demodulation/multl18M9_6/n1 [3]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[16] [12],\FM_HW/FM_Demodulation/dmd_data_filter[5] [11]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_6/n2 [6],\FM_HW/FM_Demodulation/multl18M9_6/n2 [3]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[15] [12],\FM_HW/FM_Demodulation/dmd_data_filter[4] [11]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b269|FM_HW/FM_Demodulation/reg5_b80 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [4],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_6/n1 [4],\FM_HW/FM_Demodulation/multl18M9_6/n1 [0]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [0]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[16] [14],\FM_HW/FM_Demodulation/dmd_data_filter[5] [12]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_6/n2 [4],\FM_HW/FM_Demodulation/multl18M9_6/n2 [0]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[15] [14],\FM_HW/FM_Demodulation/dmd_data_filter[4] [12]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b26|FM_HW/FM_Demodulation/reg5_b313 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[2] [9],\FM_HW/FM_Demodulation/dmd_data_filter[19] [7]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[1] [9],\FM_HW/FM_Demodulation/dmd_data_filter[18] [7]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b273|FM_HW/FM_Demodulation/reg5_b274 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[17] [1],\FM_HW/FM_Demodulation/dmd_data_filter[17] [2]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[16] [1],\FM_HW/FM_Demodulation/dmd_data_filter[16] [2]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b275|FM_HW/FM_Demodulation/reg5_b271 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[17] [3],\FM_HW/FM_Demodulation/dmd_data_filter[16] [16]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[16] [3],\FM_HW/FM_Demodulation/dmd_data_filter[15] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b276|FM_HW/FM_Demodulation/reg5_b278 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[17] [4],\FM_HW/FM_Demodulation/dmd_data_filter[17] [6]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[16] [4],\FM_HW/FM_Demodulation/dmd_data_filter[16] [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b277|FM_HW/FM_Demodulation/reg5_b56 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[17] [5],\FM_HW/FM_Demodulation/dmd_data_filter[4] [5]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[16] [5],\FM_HW/FM_Demodulation/dmd_data_filter[3] [5]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b279|FM_HW/FM_Demodulation/reg5_b282 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[17] [7],\FM_HW/FM_Demodulation/dmd_data_filter[17] [10]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[16] [7],\FM_HW/FM_Demodulation/dmd_data_filter[16] [10]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b27|FM_HW/FM_Demodulation/reg5_b29 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[2] [10],\FM_HW/FM_Demodulation/dmd_data_filter[2] [12]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[1] [10],\FM_HW/FM_Demodulation/dmd_data_filter[1] [12]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b281|FM_HW/FM_Demodulation/reg5_b60 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[17] [9],\FM_HW/FM_Demodulation/dmd_data_filter[4] [9]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[16] [9],\FM_HW/FM_Demodulation/dmd_data_filter[3] [9]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b283|FM_HW/FM_Demodulation/reg5_b63 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[17] [11],\FM_HW/FM_Demodulation/dmd_data_filter[4] [12]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[16] [11],\FM_HW/FM_Demodulation/dmd_data_filter[3] [12]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b284|FM_HW/FM_Demodulation/reg5_b62 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[17] [12],\FM_HW/FM_Demodulation/dmd_data_filter[4] [11]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[16] [12],\FM_HW/FM_Demodulation/dmd_data_filter[3] [11]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b285|FM_HW/FM_Demodulation/reg5_b287 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[17] [13],\FM_HW/FM_Demodulation/dmd_data_filter[17] [15]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[16] [13],\FM_HW/FM_Demodulation/dmd_data_filter[16] [15]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b28|FM_HW/FM_Demodulation/reg5_b31 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[2] [11],\FM_HW/FM_Demodulation/dmd_data_filter[2] [14]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[1] [11],\FM_HW/FM_Demodulation/dmd_data_filter[1] [14]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b291|FM_HW/FM_Demodulation/reg5_b34 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_6/n1 [12:11]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [12:11]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[18] [2],\FM_HW/FM_Demodulation/dmd_data_filter[3] [0]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/multl18M9_6/n2 [12:11]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[17] [2],\FM_HW/FM_Demodulation/dmd_data_filter[2] [0]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b292|FM_HW/FM_Demodulation/reg5_b293 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[18] [3],\FM_HW/FM_Demodulation/dmd_data_filter[18] [4]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[17] [3],\FM_HW/FM_Demodulation/dmd_data_filter[17] [4]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b294|FM_HW/FM_Demodulation/reg5_b295 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[18] [5],\FM_HW/FM_Demodulation/dmd_data_filter[18] [6]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[17] [5],\FM_HW/FM_Demodulation/dmd_data_filter[17] [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b296|FM_HW/FM_Demodulation/reg5_b297 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[18] [7],\FM_HW/FM_Demodulation/dmd_data_filter[18] [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[17] [7],\FM_HW/FM_Demodulation/dmd_data_filter[17] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b298|FM_HW/FM_Demodulation/reg5_b299 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[18] [9],\FM_HW/FM_Demodulation/dmd_data_filter[18] [10]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[17] [9],\FM_HW/FM_Demodulation/dmd_data_filter[17] [10]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b2|FM_HW/FM_Demodulation/reg5_b306 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_1/n9 [15],\FM_HW/FM_Demodulation/multl18M9_1/n9 [12]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [14],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [11]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[1] [2],\FM_HW/FM_Demodulation/dmd_data_filter[19] [0]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [15],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [12]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[0] [2],\FM_HW/FM_Demodulation/dmd_data_filter[18] [0]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b300|FM_HW/FM_Demodulation/reg5_b301 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[18] [11],\FM_HW/FM_Demodulation/dmd_data_filter[18] [12]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[17] [11],\FM_HW/FM_Demodulation/dmd_data_filter[17] [12]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b302|FM_HW/FM_Demodulation/reg5_b303 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[18] [13],\FM_HW/FM_Demodulation/dmd_data_filter[18] [14]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[17] [13],\FM_HW/FM_Demodulation/dmd_data_filter[17] [14]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b304|FM_HW/FM_Demodulation/reg5_b49 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[18] [15],\FM_HW/FM_Demodulation/dmd_data_filter[3] [15]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[17] [15],\FM_HW/FM_Demodulation/dmd_data_filter[2] [15]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b305|FM_HW/FM_Demodulation/reg5_b50 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[18] [16],\FM_HW/FM_Demodulation/dmd_data_filter[3] [16]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[17] [16],\FM_HW/FM_Demodulation/dmd_data_filter[2] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b309|FM_HW/FM_Demodulation/reg5_b310 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[19] [3],\FM_HW/FM_Demodulation/dmd_data_filter[19] [4]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[18] [3],\FM_HW/FM_Demodulation/dmd_data_filter[18] [4]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b30|FM_HW/FM_Demodulation/reg5_b32 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[2] [13],\FM_HW/FM_Demodulation/dmd_data_filter[2] [15]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[1] [13],\FM_HW/FM_Demodulation/dmd_data_filter[1] [15]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b312|FM_HW/FM_Demodulation/reg5_b311 (
.c({\FM_HW/FM_Demodulation/multl18M9_1/n9 [18],\FM_HW/FM_Demodulation/multl18M9_1/n9 [19]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_1_19 [17]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[19] [6:5]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [18],\FM_HW/FM_Demodulation/dmd_data_filter_multi_1_19 [19]}),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[18] [6:5])); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b315|FM_HW/FM_Demodulation/reg5_b316 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[19] [9],\FM_HW/FM_Demodulation/dmd_data_filter[19] [10]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[18] [9],\FM_HW/FM_Demodulation/dmd_data_filter[18] [10]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b317|FM_HW/FM_Demodulation/reg5_b318 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[19] [11],\FM_HW/FM_Demodulation/dmd_data_filter[19] [12]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[18] [11],\FM_HW/FM_Demodulation/dmd_data_filter[18] [12]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b319|FM_HW/FM_Demodulation/reg5_b320 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[19] [13],\FM_HW/FM_Demodulation/dmd_data_filter[19] [14]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[18] [13],\FM_HW/FM_Demodulation/dmd_data_filter[18] [14]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b321|FM_HW/FM_Demodulation/reg5_b322 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_020 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_021 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_020 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_021 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[19] [15],\FM_HW/FM_Demodulation/dmd_data_filter[19] [16]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u286_o ,\ethernet_i0/mac_test0/_al_u242_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[18] [15],\FM_HW/FM_Demodulation/dmd_data_filter[18] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b330|FM_HW/FM_Demodulation/reg5_b331 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_0/n9 [12:11]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [12:11]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[20] [7],\FM_HW/FM_Demodulation/dmd_data_filter[20] [8]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [12:11]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[19] [7],\FM_HW/FM_Demodulation/dmd_data_filter[19] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b332|FM_HW/FM_Demodulation/reg5_b333 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_0/n9 [14:13]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [14:13]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[20] [9],\FM_HW/FM_Demodulation/dmd_data_filter[20] [10]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [14:13]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[19] [9],\FM_HW/FM_Demodulation/dmd_data_filter[19] [10]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b334|FM_HW/FM_Demodulation/reg5_b337 (
.c({\FM_HW/FM_Demodulation/multl18M9_0/n9 [17],\FM_HW/FM_Demodulation/multl18M9_0/n9 [18]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[20] [11],\FM_HW/FM_Demodulation/dmd_data_filter[20] [14]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [18]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[19] [11],\FM_HW/FM_Demodulation/dmd_data_filter[19] [14]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b335|FM_HW/FM_Demodulation/reg5_b336 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_0/n9 [16:15]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [16:15]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[20] [12],\FM_HW/FM_Demodulation/dmd_data_filter[20] [13]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [16:15]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[19] [12],\FM_HW/FM_Demodulation/dmd_data_filter[19] [13]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b338|FM_HW/FM_Demodulation/reg5_b339 (
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_004 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_005 }),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[20] [15],\FM_HW/FM_Demodulation/dmd_data_filter[20] [16]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u294_o ,\ethernet_i0/mac_test0/_al_u250_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[19] [15],\FM_HW/FM_Demodulation/dmd_data_filter[19] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg5_b340|add/sub0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b340|add/sub0/ucin (
.a({\FM_HW/FM_Demodulation/INMultQN_1 [0],1'b0}),
.b({\FM_HW/FM_Demodulation/QNMultIN_1 [0],open_n20800}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.sr(RSTn_pad),
.fco(\FM_HW/FM_Demodulation/add/sub0/c1 ),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[20] [0],open_n20822}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg5_b340|add/sub0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b342|reg5_b341 (
.a(\FM_HW/FM_Demodulation/INMultQN_1 [2:1]),
.b(\FM_HW/FM_Demodulation/QNMultIN_1 [2:1]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.fci(\FM_HW/FM_Demodulation/add/sub0/c1 ),
.sr(RSTn_pad),
.fco(\FM_HW/FM_Demodulation/add/sub0/c3 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[20] [2:1]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg5_b340|add/sub0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b344|reg5_b343 (
.a(\FM_HW/FM_Demodulation/INMultQN_1 [4:3]),
.b(\FM_HW/FM_Demodulation/QNMultIN_1 [4:3]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.fci(\FM_HW/FM_Demodulation/add/sub0/c3 ),
.sr(RSTn_pad),
.fco(\FM_HW/FM_Demodulation/add/sub0/c5 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[20] [4:3]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg5_b340|add/sub0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b346|reg5_b345 (
.a(\FM_HW/FM_Demodulation/INMultQN_1 [6:5]),
.b(\FM_HW/FM_Demodulation/QNMultIN_1 [6:5]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.fci(\FM_HW/FM_Demodulation/add/sub0/c5 ),
.sr(RSTn_pad),
.fco(\FM_HW/FM_Demodulation/add/sub0/c7 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[20] [6:5]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg5_b340|add/sub0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b348|reg5_b347 (
.a(\FM_HW/FM_Demodulation/INMultQN_1 [8:7]),
.b(\FM_HW/FM_Demodulation/QNMultIN_1 [8:7]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.fci(\FM_HW/FM_Demodulation/add/sub0/c7 ),
.sr(RSTn_pad),
.fco(\FM_HW/FM_Demodulation/add/sub0/c9 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[20] [8:7]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg5_b340|add/sub0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b350|reg5_b349 (
.a(\FM_HW/FM_Demodulation/INMultQN_1 [10:9]),
.b(\FM_HW/FM_Demodulation/QNMultIN_1 [10:9]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.fci(\FM_HW/FM_Demodulation/add/sub0/c9 ),
.sr(RSTn_pad),
.fco(\FM_HW/FM_Demodulation/add/sub0/c11 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[20] [10:9]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg5_b340|add/sub0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b352|reg5_b351 (
.a(\FM_HW/FM_Demodulation/INMultQN_1 [12:11]),
.b(\FM_HW/FM_Demodulation/QNMultIN_1 [12:11]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.fci(\FM_HW/FM_Demodulation/add/sub0/c11 ),
.sr(RSTn_pad),
.fco(\FM_HW/FM_Demodulation/add/sub0/c13 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[20] [12:11]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg5_b340|add/sub0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b354|reg5_b353 (
.a(\FM_HW/FM_Demodulation/INMultQN_1 [14:13]),
.b(\FM_HW/FM_Demodulation/QNMultIN_1 [14:13]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.fci(\FM_HW/FM_Demodulation/add/sub0/c13 ),
.sr(RSTn_pad),
.fco(\FM_HW/FM_Demodulation/add/sub0/c15 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[20] [14:13]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/reg5_b340|add/sub0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b356|reg5_b355 (
.a({\FM_HW/FM_Demodulation/INMultQN_1 [15],\FM_HW/FM_Demodulation/INMultQN_1 [15]}),
.b({\FM_HW/FM_Demodulation/QNMultIN_1 [15],\FM_HW/FM_Demodulation/QNMultIN_1 [15]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.fci(\FM_HW/FM_Demodulation/add/sub0/c15 ),
.sr(RSTn_pad),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[20] [16:15]));
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b35|FM_HW/FM_Demodulation/reg5_b36 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_6/n1 [14:13]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [14:13]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[3] [1],\FM_HW/FM_Demodulation/dmd_data_filter[3] [2]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/multl18M9_6/n2 [14:13]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[2] [1],\FM_HW/FM_Demodulation/dmd_data_filter[2] [2]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b37|FM_HW/FM_Demodulation/reg5_b38 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_6/n1 [16:15]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [16:15]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[3] [3],\FM_HW/FM_Demodulation/dmd_data_filter[3] [4]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/multl18M9_6/n2 [16:15]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[2] [3],\FM_HW/FM_Demodulation/dmd_data_filter[2] [4]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b3|FM_HW/FM_Demodulation/reg5_b323 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [2],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_0/n9 [2:1]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [1]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[1] [3],\FM_HW/FM_Demodulation/dmd_data_filter[20] [0]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [2:1]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[0] [3],\FM_HW/FM_Demodulation/dmd_data_filter[19] [0]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b41|FM_HW/FM_Demodulation/reg5_b42 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[3] [7],\FM_HW/FM_Demodulation/dmd_data_filter[3] [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[2] [7],\FM_HW/FM_Demodulation/dmd_data_filter[2] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b43|FM_HW/FM_Demodulation/reg5_b44 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[3] [9],\FM_HW/FM_Demodulation/dmd_data_filter[3] [10]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[2] [9],\FM_HW/FM_Demodulation/dmd_data_filter[2] [10]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b45|FM_HW/FM_Demodulation/reg5_b46 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[3] [11],\FM_HW/FM_Demodulation/dmd_data_filter[3] [12]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[2] [11],\FM_HW/FM_Demodulation/dmd_data_filter[2] [12]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b47|FM_HW/FM_Demodulation/reg5_b48 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[3] [13],\FM_HW/FM_Demodulation/dmd_data_filter[3] [14]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[2] [13],\FM_HW/FM_Demodulation/dmd_data_filter[2] [14]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b4|FM_HW/FM_Demodulation/reg5_b329 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [3],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [4]}),
.c({\FM_HW/FM_Demodulation/multl18M9_0/n9 [3],\FM_HW/FM_Demodulation/multl18M9_0/n9 [4]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[1] [4],\FM_HW/FM_Demodulation/dmd_data_filter[20] [6]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [3],\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [4]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[0] [4],\FM_HW/FM_Demodulation/dmd_data_filter[19] [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b52|FM_HW/FM_Demodulation/reg5_b53 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[4] [1],\FM_HW/FM_Demodulation/dmd_data_filter[4] [2]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[3] [1],\FM_HW/FM_Demodulation/dmd_data_filter[3] [2]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b58|FM_HW/FM_Demodulation/reg5_b59 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[4] [7],\FM_HW/FM_Demodulation/dmd_data_filter[4] [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[3] [7],\FM_HW/FM_Demodulation/dmd_data_filter[3] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b5|FM_HW/FM_Demodulation/reg5_b6 (
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [6:5]),
.c(\FM_HW/FM_Demodulation/multl18M9_0/n9 [6:5]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[1] [5],\FM_HW/FM_Demodulation/dmd_data_filter[1] [6]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [6:5]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[0] [5],\FM_HW/FM_Demodulation/dmd_data_filter[0] [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b67|FM_HW/FM_Demodulation/reg5_b84 (
.c({\FM_HW/FM_Demodulation/multl18M9_6/n1 [17],\FM_HW/FM_Demodulation/multl18M9_6/n9 [26]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[4] [16],\FM_HW/FM_Demodulation/dmd_data_filter[5] [16]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/multl18M9_6/n2 [17],\FM_HW/FM_Demodulation/dmd_data_filter_multi_6_14 [22]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[3] [16],\FM_HW/FM_Demodulation/dmd_data_filter[4] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b69|FM_HW/FM_Demodulation/reg5_b70 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[5] [1],\FM_HW/FM_Demodulation/dmd_data_filter[5] [2]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[4] [1],\FM_HW/FM_Demodulation/dmd_data_filter[4] [2]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b7|FM_HW/FM_Demodulation/reg5_b9 (
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [8:7]),
.c(\FM_HW/FM_Demodulation/multl18M9_0/n9 [8:7]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[1] [7],\FM_HW/FM_Demodulation/dmd_data_filter[1] [9]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [8:7]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[0] [7],\FM_HW/FM_Demodulation/dmd_data_filter[0] [9]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b81|FM_HW/FM_Demodulation/reg5_b82 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [2],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_6/n1 [2:1]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [1]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[5] [13],\FM_HW/FM_Demodulation/dmd_data_filter[5] [14]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/multl18M9_6/n2 [2:1]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[4] [13],\FM_HW/FM_Demodulation/dmd_data_filter[4] [14]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b87|FM_HW/FM_Demodulation/reg5_b93 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [6],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [3]}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n9 [10],\FM_HW/FM_Demodulation/multl18M9_7/n9 [7]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[6] [2],\FM_HW/FM_Demodulation/dmd_data_filter[6] [8]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [10],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [7]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[5] [2],\FM_HW/FM_Demodulation/dmd_data_filter[5] [8]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b88|FM_HW/FM_Demodulation/reg5_b92 (
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [5:4]),
.c(\FM_HW/FM_Demodulation/multl18M9_7/n9 [9:8]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[6] [3],\FM_HW/FM_Demodulation/dmd_data_filter[6] [7]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [9:8]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[5] [3],\FM_HW/FM_Demodulation/dmd_data_filter[5] [7]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b89|FM_HW/FM_Demodulation/reg5_b91 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [2],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n9 [6],\FM_HW/FM_Demodulation/multl18M9_7/n9 [4]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [0]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[6] [4],\FM_HW/FM_Demodulation/dmd_data_filter[6] [6]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [6],\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [4]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[5] [4],\FM_HW/FM_Demodulation/dmd_data_filter[5] [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b8|FM_HW/FM_Demodulation/reg5_b33 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [9]}),
.c(\FM_HW/FM_Demodulation/multl18M9_0/n9 [10:9]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [10],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_0_20 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[1] [8],\FM_HW/FM_Demodulation/dmd_data_filter[2] [16]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_0_20 [10:9]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[0] [8],\FM_HW/FM_Demodulation/dmd_data_filter[1] [16]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b94|FM_HW/FM_Demodulation/reg5_b95 (
.b(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [8:7]),
.c(\FM_HW/FM_Demodulation/multl18M9_7/n9 [12:11]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[6] [9],\FM_HW/FM_Demodulation/dmd_data_filter[6] [10]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [12:11]),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[5] [9],\FM_HW/FM_Demodulation/dmd_data_filter[5] [10]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg5_b99|FM_HW/FM_Demodulation/reg5_b268 (
.b({open_n21388,\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [5]}),
.c({\FM_HW/FM_Demodulation/multl18M9_7/n9 [21],\FM_HW/FM_Demodulation/multl18M9_6/n1 [5]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_7_13 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_6_14 [17]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[6] [14],\FM_HW/FM_Demodulation/dmd_data_filter[16] [13]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/dmd_data_filter_multi_7_13 [21],\FM_HW/FM_Demodulation/multl18M9_6/n2 [5]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[5] [14],\FM_HW/FM_Demodulation/dmd_data_filter[15] [13]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG0("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0101010100011011),
.INIT_LUTG1(16'b0101010100011011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg6_b0|FM_HW/FM_Demodulation/reg6_b1 (
.a({\ethernet_i0/mac_test0/_al_u367_o ,\ethernet_i0/mac_test0/_al_u324_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_026 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_027 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_026 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_027 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [0],\FM_HW/FM_Demodulation/IQdatatemp2 [1]}),
.f({\ethernet_i0/mac_test0/_al_u368_o ,\ethernet_i0/mac_test0/_al_u325_o }),
.q({\FM_HW/FM_Demodulation/IQdatatemp1 [0],\FM_HW/FM_Demodulation/IQdatatemp1 [1]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010100011011),
.INIT_LUTF1(16'b0101010100011011),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg6_b10|FM_HW/FM_Demodulation/reg6_b11 (
.a({\ethernet_i0/mac_test0/_al_u369_o ,\ethernet_i0/mac_test0/_al_u326_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_026 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_027 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_026 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_027 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [10],\FM_HW/FM_Demodulation/IQdatatemp2 [11]}),
.f({\ethernet_i0/mac_test0/_al_u370_o ,\ethernet_i0/mac_test0/_al_u327_o }),
.q({\FM_HW/FM_Demodulation/IQdatatemp1 [10],\FM_HW/FM_Demodulation/IQdatatemp1 [11]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000110000001010),
.INIT_LUT1(16'b0000110000001010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg6_b12|FM_HW/FM_Demodulation/reg6_b13 (
.a({\ethernet_i0/mac_test0/_al_u371_o ,\ethernet_i0/mac_test0/_al_u328_o }),
.b({\ethernet_i0/mac_test0/_al_u382_o ,\ethernet_i0/mac_test0/_al_u339_o }),
.c({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/bufferA_B }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/j [1],\ethernet_i0/mac_test0/j [1]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [12],\FM_HW/FM_Demodulation/IQdatatemp2 [13]}),
.f({\ethernet_i0/mac_test0/_al_u383_o ,\ethernet_i0/mac_test0/_al_u340_o }),
.q({\FM_HW/FM_Demodulation/IQdatatemp1 [12],\FM_HW/FM_Demodulation/IQdatatemp1 [13]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000110000001010),
.INIT_LUT1(16'b0000110000001010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg6_b14|FM_HW/FM_Demodulation/reg6_b15 (
.a({\ethernet_i0/mac_test0/_al_u457_o ,\ethernet_i0/mac_test0/_al_u414_o }),
.b({\ethernet_i0/mac_test0/_al_u468_o ,\ethernet_i0/mac_test0/_al_u425_o }),
.c({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/bufferA_B }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/j [1],\ethernet_i0/mac_test0/j [1]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [14],\FM_HW/FM_Demodulation/IQdatatemp2 [15]}),
.f({\ethernet_i0/mac_test0/_al_u469_o ,\ethernet_i0/mac_test0/_al_u426_o }),
.q({\FM_HW/FM_Demodulation/IQdatatemp1 [14],\FM_HW/FM_Demodulation/IQdatatemp1 [15]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG0("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0101010100011011),
.INIT_LUTG1(16'b0101010100011011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg6_b2|FM_HW/FM_Demodulation/reg6_b3 (
.a({\ethernet_i0/mac_test0/_al_u453_o ,\ethernet_i0/mac_test0/_al_u410_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_024 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_025 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_024 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_025 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [2],\FM_HW/FM_Demodulation/IQdatatemp2 [3]}),
.f({\ethernet_i0/mac_test0/_al_u454_o ,\ethernet_i0/mac_test0/_al_u411_o }),
.q({\FM_HW/FM_Demodulation/IQdatatemp1 [2],\FM_HW/FM_Demodulation/IQdatatemp1 [3]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG0("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0101010100011011),
.INIT_LUTG1(16'b0101010100011011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg6_b4|FM_HW/FM_Demodulation/reg6_b5 (
.a({\ethernet_i0/mac_test0/_al_u193_o ,\ethernet_i0/mac_test0/_al_u150_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_030 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_031 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_030 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_031 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [4],\FM_HW/FM_Demodulation/IQdatatemp2 [5]}),
.f({\ethernet_i0/mac_test0/_al_u194_o ,\ethernet_i0/mac_test0/_al_u151_o }),
.q({\FM_HW/FM_Demodulation/IQdatatemp1 [4],\FM_HW/FM_Demodulation/IQdatatemp1 [5]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1110011010100010),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1110011010100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg6_b6|FM_HW/FM_Demodulation/reg6_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [15]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [6],\FM_HW/FM_Demodulation/IQdatatemp2 [7]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1019_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1015_o }),
.q({\FM_HW/FM_Demodulation/IQdatatemp1 [6],\FM_HW/FM_Demodulation/IQdatatemp1 [7]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010100011011),
.INIT_LUTF1(16'b0101010100011011),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg6_b8|FM_HW/FM_Demodulation/reg6_b9 (
.a({\ethernet_i0/mac_test0/_al_u455_o ,\ethernet_i0/mac_test0/_al_u412_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_024 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_025 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_024 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_025 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.mi({\FM_HW/FM_Demodulation/IQdatatemp2 [8],\FM_HW/FM_Demodulation/IQdatatemp2 [9]}),
.f({\ethernet_i0/mac_test0/_al_u456_o ,\ethernet_i0/mac_test0/_al_u413_o }),
.q({\FM_HW/FM_Demodulation/IQdatatemp1 [8],\FM_HW/FM_Demodulation/IQdatatemp1 [9]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg7_b0|FM_HW/FM_Demodulation/reg7_b10 (
.b({\FM_HW/FM_Demodulation/IdataN_1 [3],\FM_HW/FM_Demodulation/IdataN_1 [4]}),
.c({\FM_HW/FM_Demodulation/IdataN_1 [7],\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/multlQN/n4 [3],\FM_HW/FM_Demodulation/multlQN/n4 [4]}),
.mi({\FM_HW/FM_Demodulation/Qdata [0],\FM_HW/FM_Demodulation/Idata [2]}),
.f({\FM_HW/FM_Demodulation/multlQN/n5 [3],\FM_HW/FM_Demodulation/multlQN/n5 [4]}),
.q({\FM_HW/FM_Demodulation/IQdatatemp2 [0],\FM_HW/FM_Demodulation/IQdatatemp2 [10]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg7_b11|FM_HW/FM_Demodulation/reg7_b3 (
.c({\FM_HW/FM_Demodulation/IdataN [7],\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/multlIN/n1 [7],\FM_HW/FM_Demodulation/multlQN/n4 [7]}),
.mi({\FM_HW/FM_Demodulation/Idata [3],\FM_HW/FM_Demodulation/Qdata [3]}),
.f({\FM_HW/FM_Demodulation/multlIN/n2 [7],\FM_HW/FM_Demodulation/multlQN/n5 [7]}),
.q({\FM_HW/FM_Demodulation/IQdatatemp2 [11],\FM_HW/FM_Demodulation/IQdatatemp2 [3]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("~((~D*~C)*~((~B*~A))*~(0)+(~D*~C)*(~B*~A)*~(0)+~((~D*~C))*(~B*~A)*0+(~D*~C)*(~B*~A)*0)"),
//.LUTG0("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("~((~D*~C)*~((~B*~A))*~(1)+(~D*~C)*(~B*~A)*~(1)+~((~D*~C))*(~B*~A)*1+(~D*~C)*(~B*~A)*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1111111111110000),
.INIT_LUTG0(16'b0101010100011011),
.INIT_LUTG1(16'b1110111011101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg7_b12|FM_HW/FM_Demodulation/reg7_b15 (
.a({\ethernet_i0/mac_test0/_al_u450_o ,\ethernet_i0/mac_test0/_al_u320_o }),
.b({\ethernet_i0/mac_test0/_al_u452_o ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_019 }),
.c({\ethernet_i0/mac_test0/_al_u454_o ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_019 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/_al_u456_o ,\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/i [6]}),
.mi({\FM_HW/FM_Demodulation/Idata [4],\FM_HW/FM_Demodulation/Idata [7]}),
.f({\ethernet_i0/mac_test0/_al_u457_o ,\ethernet_i0/mac_test0/_al_u321_o }),
.q({\FM_HW/FM_Demodulation/IQdatatemp2 [12],\FM_HW/FM_Demodulation/IQdatatemp2 [15]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010100011011),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0101010100011011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg7_b14|FM_HW/FM_Demodulation/reg7_b5 (
.a({\ethernet_i0/mac_test0/_al_u363_o ,\ethernet_i0/mac_test0/_al_u408_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_018 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_017 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_018 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_017 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.mi({\FM_HW/FM_Demodulation/Idata [6],\FM_HW/FM_Demodulation/Qdata [5]}),
.f({\ethernet_i0/mac_test0/_al_u364_o ,\ethernet_i0/mac_test0/_al_u409_o }),
.q({\FM_HW/FM_Demodulation/IQdatatemp2 [14],\FM_HW/FM_Demodulation/IQdatatemp2 [5]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG0("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0101010100011011),
.INIT_LUTG1(16'b0101010100011011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg7_b4|FM_HW/FM_Demodulation/reg7_b6 (
.a({\ethernet_i0/mac_test0/_al_u449_o ,\ethernet_i0/mac_test0/_al_u406_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_016 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_017 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_016 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_017 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.mi({\FM_HW/FM_Demodulation/Qdata [4],\FM_HW/FM_Demodulation/Qdata [6]}),
.f({\ethernet_i0/mac_test0/_al_u450_o ,\ethernet_i0/mac_test0/_al_u407_o }),
.q({\FM_HW/FM_Demodulation/IQdatatemp2 [4],\FM_HW/FM_Demodulation/IQdatatemp2 [6]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg7_b8|FM_HW/FM_Demodulation/reg7_b9 (
.b({\FM_HW/FM_Demodulation/IdataN_1 [1],\FM_HW/FM_Demodulation/IdataN_1 [2]}),
.c({\FM_HW/FM_Demodulation/IdataN_1 [7],\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/multlQN/n4 [1],\FM_HW/FM_Demodulation/multlQN/n4 [2]}),
.mi({\FM_HW/FM_Demodulation/Idata [0],\FM_HW/FM_Demodulation/Idata [1]}),
.f({\FM_HW/FM_Demodulation/multlQN/n5 [1],\FM_HW/FM_Demodulation/multlQN/n5 [2]}),
.q({\FM_HW/FM_Demodulation/IQdatatemp2 [8],\FM_HW/FM_Demodulation/IQdatatemp2 [9]})); // ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(C@D)"),
//.LUTG0("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111110000),
.INIT_LUTG0(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg8_b13 (
.c({open_n21639,\FM_HW/FM_Demodulation/dmd_data_filtered_temp [30]}),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d({open_n21641,\FM_HW/FM_Demodulation/dmd_data_filtered_temp [22]}),
.sr(RSTn_pad),
.q({open_n21663,\FM_HW/demodulated_signal_downsample [13]})); // ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTF1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUTG1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111001111000000),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111001111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg9_b0|FM_HW/FM_Demodulation/reg9_b1 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_9/n1 [12:11]),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [12:11]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [0],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [1]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/multl18M9_9/n2 [12:11]),
.q({\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [0],\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [1]})); // ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg9_b2|FM_HW/FM_Demodulation/reg9_b3 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_9/n1 [14:13]),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [14:13]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [2],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [3]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/multl18M9_9/n2 [14:13]),
.q({\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [2],\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [3]})); // ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
// ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001111000000),
.INIT_LUT1(16'b1111001111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg9_b5|FM_HW/FM_Demodulation/reg9_b6 (
.b({\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17],\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [17]}),
.c(\FM_HW/FM_Demodulation/multl18M9_9/n1 [16:15]),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d(\FM_HW/FM_Demodulation/dmd_data_filter_ADD_9_11 [16:15]),
.mi({\FM_HW/FM_Demodulation/dmd_data_filtered_temp [5],\FM_HW/FM_Demodulation/dmd_data_filtered_temp [6]}),
.sr(RSTn_pad),
.f(\FM_HW/FM_Demodulation/multl18M9_9/n2 [16:15]),
.q({\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [5],\FM_HW/FM_Demodulation/demodulated_signal_sample_24bit [6]})); // ../rtl/demodulation/FM_Demodulation.v(239)
EG_PHY_GCLK \FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_inst (
.clki(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate ),
.clko(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/add2/ucin_al_u146"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/add2/u11_al_u149 (
.a({open_n21716,\FM_HW/FM_RSSI_SCAN/counter [11]}),
.b({open_n21717,\FM_HW/FM_RSSI_SCAN/counter [12]}),
.c(2'b00),
.d({open_n21720,1'b0}),
.e({open_n21721,1'b0}),
.fci(\FM_HW/FM_RSSI_SCAN/add2/c11 ),
.f({open_n21736,\FM_HW/FM_RSSI_SCAN/n16 [11]}),
.fx({open_n21738,\FM_HW/FM_RSSI_SCAN/n16 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/add2/ucin_al_u146"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/add2/u3_al_u147 (
.a({\FM_HW/FM_RSSI_SCAN/counter [5],\FM_HW/FM_RSSI_SCAN/counter [3]}),
.b({\FM_HW/FM_RSSI_SCAN/counter [6],\FM_HW/FM_RSSI_SCAN/counter [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/add2/c3 ),
.f({\FM_HW/FM_RSSI_SCAN/n16 [5],\FM_HW/FM_RSSI_SCAN/n16 [3]}),
.fco(\FM_HW/FM_RSSI_SCAN/add2/c7 ),
.fx({\FM_HW/FM_RSSI_SCAN/n16 [6],\FM_HW/FM_RSSI_SCAN/n16 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/add2/ucin_al_u146"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/add2/u7_al_u148 (
.a({\FM_HW/FM_RSSI_SCAN/counter [9],\FM_HW/FM_RSSI_SCAN/counter [7]}),
.b({\FM_HW/FM_RSSI_SCAN/counter [10],\FM_HW/FM_RSSI_SCAN/counter [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/add2/c7 ),
.f({\FM_HW/FM_RSSI_SCAN/n16 [9],\FM_HW/FM_RSSI_SCAN/n16 [7]}),
.fco(\FM_HW/FM_RSSI_SCAN/add2/c11 ),
.fx({\FM_HW/FM_RSSI_SCAN/n16 [10],\FM_HW/FM_RSSI_SCAN/n16 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/add2/ucin_al_u146"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/add2/ucin_al_u146 (
.a({\FM_HW/FM_RSSI_SCAN/counter [1],1'b0}),
.b({\FM_HW/FM_RSSI_SCAN/counter [2],\FM_HW/FM_RSSI_SCAN/counter [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\FM_HW/FM_RSSI_SCAN/n16 [1],open_n21794}),
.fco(\FM_HW/FM_RSSI_SCAN/add2/c3 ),
.fx({\FM_HW/FM_RSSI_SCAN/n16 [2],\FM_HW/FM_RSSI_SCAN/n16 [0]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin (
.a({\FM_HW/FM_RSSI_SCAN/counter [0],1'b0}),
.b({1'b1,open_n21797}),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_10|FM_RSSI_SCAN/lt0_9 (
.a(\FM_HW/FM_RSSI_SCAN/counter [10:9]),
.b(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c9 ),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_12|FM_RSSI_SCAN/lt0_11 (
.a(\FM_HW/FM_RSSI_SCAN/counter [12:11]),
.b(2'b10),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c11 ),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_2|FM_RSSI_SCAN/lt0_1 (
.a(\FM_HW/FM_RSSI_SCAN/counter [2:1]),
.b(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c1 ),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_4|FM_RSSI_SCAN/lt0_3 (
.a(\FM_HW/FM_RSSI_SCAN/counter [4:3]),
.b(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c3 ),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_6|FM_RSSI_SCAN/lt0_5 (
.a(\FM_HW/FM_RSSI_SCAN/counter [6:5]),
.b(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c5 ),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_8|FM_RSSI_SCAN/lt0_7 (
.a(\FM_HW/FM_RSSI_SCAN/counter [8:7]),
.b(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c7 ),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_cout_al_u150 (
.a({open_n21967,1'b0}),
.b({open_n21968,1'b1}),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c13 ),
.f({open_n21987,\FM_HW/FM_RSSI_SCAN/n14 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_RSSI_SCAN/IdataN [0],open_n21993}),
.f({\FM_HW/FM_RSSI_SCAN/multlII/n1 [0],open_n22013}),
.fco(\FM_HW/FM_RSSI_SCAN/multlII/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlII/add0/u2|FM_RSSI_SCAN/multlII/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_RSSI_SCAN/IdataN [2:1]),
.fci(\FM_HW/FM_RSSI_SCAN/multlII/add0/c1 ),
.f(\FM_HW/FM_RSSI_SCAN/multlII/n1 [2:1]),
.fco(\FM_HW/FM_RSSI_SCAN/multlII/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlII/add0/u4|FM_RSSI_SCAN/multlII/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_RSSI_SCAN/IdataN [4:3]),
.fci(\FM_HW/FM_RSSI_SCAN/multlII/add0/c3 ),
.f(\FM_HW/FM_RSSI_SCAN/multlII/n1 [4:3]),
.fco(\FM_HW/FM_RSSI_SCAN/multlII/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlII/add0/u6|FM_RSSI_SCAN/multlII/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_RSSI_SCAN/IdataN [6:5]),
.fci(\FM_HW/FM_RSSI_SCAN/multlII/add0/c5 ),
.f(\FM_HW/FM_RSSI_SCAN/multlII/n1 [6:5]),
.fco(\FM_HW/FM_RSSI_SCAN/multlII/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlII/add0/u7_al_u151 (
.a({open_n22084,1'b0}),
.b({open_n22085,\FM_HW/FM_RSSI_SCAN/IdataN [7]}),
.fci(\FM_HW/FM_RSSI_SCAN/multlII/add0/c7 ),
.f({open_n22104,\FM_HW/FM_RSSI_SCAN/multlII/n1 [7]}));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT9X9C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("1"),
.SIGNEDBMUX("1"))
\FM_HW/FM_RSSI_SCAN/multlII/mult0_FM_RSSI_SCAN/multlQQ/mult0_ (
.a({1'b0,\FM_HW/FM_RSSI_SCAN/multlQQ/n2 ,1'b0,\FM_HW/FM_RSSI_SCAN/multlII/n2 }),
.b({1'b0,\FM_HW/FM_RSSI_SCAN/multlQQ/n2 ,1'b0,\FM_HW/FM_RSSI_SCAN/multlII/n2 }),
.p({open_n22193,open_n22194,\FM_HW/FM_RSSI_SCAN/multlQQ/n6 ,open_n22195,open_n22196,\FM_HW/FM_RSSI_SCAN/multlII/n6 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_RSSI_SCAN/QdataN [0],open_n22197}),
.f({\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [0],open_n22217}),
.fco(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlQQ/add0/u2|FM_RSSI_SCAN/multlQQ/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_RSSI_SCAN/QdataN [2:1]),
.fci(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c1 ),
.f(\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [2:1]),
.fco(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlQQ/add0/u4|FM_RSSI_SCAN/multlQQ/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_RSSI_SCAN/QdataN [4:3]),
.fci(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c3 ),
.f(\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [4:3]),
.fco(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlQQ/add0/u6|FM_RSSI_SCAN/multlQQ/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_RSSI_SCAN/QdataN [6:5]),
.fci(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c5 ),
.f(\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [6:5]),
.fco(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlQQ/add0/u7_al_u152 (
.a({open_n22288,1'b0}),
.b({open_n22289,\FM_HW/FM_RSSI_SCAN/QdataN [7]}),
.fci(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c7 ),
.f({open_n22308,\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [7]}));
// ../rtl/demodulation/FM_RSSI.v(24)
// ../rtl/demodulation/FM_RSSI.v(24)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg0_b0|FM_HW/FM_RSSI_SCAN/reg0_b2 (
.b(\FM_HW/FM_RSSI_SCAN/IdataN [1:0]),
.c({\FM_HW/FM_RSSI_SCAN/IdataN [7],\FM_HW/FM_RSSI_SCAN/IdataN [7]}),
.ce(\FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d(\FM_HW/FM_RSSI_SCAN/multlII/n1 [1:0]),
.mi({\FM_HW/FM_RSSI_SCAN/n2 [0],\FM_HW/FM_RSSI_SCAN/n2 [2]}),
.f(\FM_HW/FM_RSSI_SCAN/multlII/n2 [1:0]),
.q({\FM_HW/FM_RSSI_SCAN/QdataN [0],\FM_HW/FM_RSSI_SCAN/QdataN [2]})); // ../rtl/demodulation/FM_RSSI.v(24)
// ../rtl/demodulation/FM_RSSI.v(24)
// ../rtl/demodulation/FM_RSSI.v(24)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg0_b4|FM_HW/FM_RSSI_SCAN/reg0_b5 (
.b({\FM_HW/FM_RSSI_SCAN/IdataN [3],\FM_HW/FM_RSSI_SCAN/IdataN [4]}),
.c({\FM_HW/FM_RSSI_SCAN/IdataN [7],\FM_HW/FM_RSSI_SCAN/IdataN [7]}),
.ce(\FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/multlII/n1 [3],\FM_HW/FM_RSSI_SCAN/multlII/n1 [4]}),
.mi({\FM_HW/FM_RSSI_SCAN/n2 [4],\FM_HW/FM_RSSI_SCAN/n2 [5]}),
.f({\FM_HW/FM_RSSI_SCAN/multlII/n2 [3],\FM_HW/FM_RSSI_SCAN/multlII/n2 [4]}),
.q({\FM_HW/FM_RSSI_SCAN/QdataN [4],\FM_HW/FM_RSSI_SCAN/QdataN [5]})); // ../rtl/demodulation/FM_RSSI.v(24)
// ../rtl/demodulation/FM_RSSI.v(24)
// ../rtl/demodulation/FM_RSSI.v(24)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg0_b6|FM_HW/FM_RSSI_SCAN/reg0_b7 (
.c({\FM_HW/Channel [1],\FM_HW/Channel [1]}),
.ce(\FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Demodulation/n0 ,\FM_HW/FM_Demodulation/n0 }),
.mi({\FM_HW/FM_RSSI_SCAN/n2 [6],\FM_HW/FM_RSSI_SCAN/n2 [7]}),
.f({\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ,\FM_HW/FM_Demodulation/mux10_b0_sel_is_3_o }),
.q({\FM_HW/FM_RSSI_SCAN/QdataN [6],\FM_HW/FM_RSSI_SCAN/QdataN [7]})); // ../rtl/demodulation/FM_RSSI.v(24)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b11|FM_HW/FM_RSSI_SCAN/reg1_b12 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [11],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [12]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [11],\FM_HW/FM_RSSI_SCAN/n15 [12]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [11],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [12]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110000010000),
.INIT_LUTF1(16'b1101110000010000),
.INIT_LUTG0(16'b1101110000010000),
.INIT_LUTG1(16'b1101110000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b13|FM_HW/FM_RSSI_SCAN/reg1_b14 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [13],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [14]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [13],\FM_HW/FM_RSSI_SCAN/n15 [14]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [13],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [14]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110000010000),
.INIT_LUTF1(16'b1101110000010000),
.INIT_LUTG0(16'b1101110000010000),
.INIT_LUTG1(16'b1101110000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b15|FM_HW/FM_RSSI_SCAN/reg1_b16 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [15],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [16]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [15],\FM_HW/FM_RSSI_SCAN/n15 [16]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [15],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [16]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110000010000),
.INIT_LUTF1(16'b1101110000010000),
.INIT_LUTG0(16'b1101110000010000),
.INIT_LUTG1(16'b1101110000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b17|FM_HW/FM_RSSI_SCAN/reg1_b22 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [17],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [22]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [17],\FM_HW/FM_RSSI_SCAN/n15 [22]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [17],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [22]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b18|FM_HW/FM_RSSI_SCAN/reg1_b19 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [18],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [19]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [18],\FM_HW/FM_RSSI_SCAN/n15 [19]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [18],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [19]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110000010000),
.INIT_LUTF1(16'b1101110000010000),
.INIT_LUTG0(16'b1101110000010000),
.INIT_LUTG1(16'b1101110000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b1|FM_HW/FM_RSSI_SCAN/reg1_b2 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [1],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [2]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [1],\FM_HW/FM_RSSI_SCAN/n15 [2]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [1],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [2]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b20|FM_HW/FM_RSSI_SCAN/reg1_b21 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [20],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [21]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [20],\FM_HW/FM_RSSI_SCAN/n15 [21]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [20],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [21]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110000010000),
.INIT_LUTF1(16'b1101110000010000),
.INIT_LUTG0(16'b1101110000010000),
.INIT_LUTG1(16'b1101110000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b23|FM_HW/FM_RSSI_SCAN/reg1_b24 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [23],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [24]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [23],\FM_HW/FM_RSSI_SCAN/n15 [24]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [23],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [24]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b25|FM_HW/FM_RSSI_SCAN/reg1_b26 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [25],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [26]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [25],\FM_HW/FM_RSSI_SCAN/n15 [26]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [25],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [26]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110000010000),
.INIT_LUTF1(16'b1101110000010000),
.INIT_LUTG0(16'b1101110000010000),
.INIT_LUTG1(16'b1101110000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b3|FM_HW/FM_RSSI_SCAN/reg1_b4 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [3],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [4]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [3],\FM_HW/FM_RSSI_SCAN/n15 [4]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [3],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [4]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b5|FM_HW/FM_RSSI_SCAN/reg1_b6 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [5],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [6]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [5],\FM_HW/FM_RSSI_SCAN/n15 [6]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [5],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [6]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b7|FM_HW/FM_RSSI_SCAN/reg1_b8 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [7],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [8]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [7],\FM_HW/FM_RSSI_SCAN/n15 [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [7],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [8]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b9|FM_HW/FM_RSSI_SCAN/reg1_b10 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [9],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [10]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [9],\FM_HW/FM_RSSI_SCAN/n15 [10]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [9],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [10]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_MSLICE #(
//.LUT0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUT1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100010111000000),
.INIT_LUT1(16'b1100010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg2_b10|FM_HW/FM_RSSI_SCAN/reg2_b7 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n16 [10],\FM_HW/FM_RSSI_SCAN/n16 [7]}),
.c({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/counter [10],\FM_HW/FM_RSSI_SCAN/counter [7]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/counter [10],\FM_HW/FM_RSSI_SCAN/counter [7]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_MSLICE #(
//.LUT0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUT1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100010111000000),
.INIT_LUT1(16'b1100010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg2_b11|FM_HW/FM_RSSI_SCAN/reg2_b12 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n16 [11],\FM_HW/FM_RSSI_SCAN/n16 [12]}),
.c({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/counter [11],\FM_HW/FM_RSSI_SCAN/counter [12]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/counter [11],\FM_HW/FM_RSSI_SCAN/counter [12]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_MSLICE #(
//.LUT0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUT1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100010111000000),
.INIT_LUT1(16'b1100010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg2_b1|FM_HW/FM_RSSI_SCAN/reg2_b2 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n16 [1],\FM_HW/FM_RSSI_SCAN/n16 [2]}),
.c({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/counter [1],\FM_HW/FM_RSSI_SCAN/counter [2]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/counter [1],\FM_HW/FM_RSSI_SCAN/counter [2]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_LSLICE #(
//.LUTF0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTF1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTG0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTG1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010111000000),
.INIT_LUTF1(16'b1100010111000000),
.INIT_LUTG0(16'b1100010111000000),
.INIT_LUTG1(16'b1100010111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg2_b3|FM_HW/FM_RSSI_SCAN/reg2_b4 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n16 [3],\FM_HW/FM_RSSI_SCAN/n16 [4]}),
.c({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/counter [3],\FM_HW/FM_RSSI_SCAN/counter [4]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/counter [3],\FM_HW/FM_RSSI_SCAN/counter [4]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_LSLICE #(
//.LUTF0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTF1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTG0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTG1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010111000000),
.INIT_LUTF1(16'b1100010111000000),
.INIT_LUTG0(16'b1100010111000000),
.INIT_LUTG1(16'b1100010111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg2_b5|FM_HW/FM_RSSI_SCAN/reg2_b6 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n16 [5],\FM_HW/FM_RSSI_SCAN/n16 [6]}),
.c({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/counter [5],\FM_HW/FM_RSSI_SCAN/counter [6]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/counter [5],\FM_HW/FM_RSSI_SCAN/counter [6]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_MSLICE #(
//.LUT0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUT1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100010111000000),
.INIT_LUT1(16'b1100010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg2_b8|FM_HW/FM_RSSI_SCAN/reg2_b9 (
.a({\FM_HW/_al_u69_o ,\FM_HW/_al_u69_o }),
.b({\FM_HW/FM_RSSI_SCAN/n16 [8],\FM_HW/FM_RSSI_SCAN/n16 [9]}),
.c({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/counter [8],\FM_HW/FM_RSSI_SCAN/counter [9]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/counter [8],\FM_HW/FM_RSSI_SCAN/counter [9]})); // ../rtl/demodulation/FM_RSSI.v(70)
// ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/demodulation/FM_RSSI.v(102)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*D))"),
//.LUTF1("(B*~(C*D))"),
//.LUTG0("(B*~(C*D))"),
//.LUTG1("(B*~(C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011001100),
.INIT_LUTF1(16'b0000110011001100),
.INIT_LUTG0(16'b0000110011001100),
.INIT_LUTG1(16'b0000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b10|FM_HW/FM_RSSI_SCAN/reg3_b14 (
.b({_al_u227_o,_al_u227_o}),
.c(FMDATA_RDATA[3:2]),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({_al_u304_o,_al_u304_o}),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [20],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [24]}),
.f({_al_u624_o,_al_u627_o}),
.q({\FM_HW/rd_SCAN [10],\FM_HW/rd_SCAN [14]})); // ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/demodulation/FM_RSSI.v(102)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b12|FM_HW/FM_RSSI_SCAN/reg3_b13 (
.c({\FM_HW/rd_SCAN [6],\FM_HW/rd_SCAN [7]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [22],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [23]}),
.f({FMDATA_RDATA[6],FMDATA_RDATA[7]}),
.q({\FM_HW/rd_SCAN [12],\FM_HW/rd_SCAN [13]})); // ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/demodulation/FM_RSSI.v(102)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b15|FM_HW/FM_RSSI_SCAN/reg3_b8 (
.a({_al_u297_o,open_n22784}),
.b({\FMDATA_Interface/size_reg [0],\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.c({\FMDATA_Interface/size_reg [1],FMDATA_WADDR[4]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({\FMDATA_Interface/size_reg [2],\FM_HW/_al_u65_o }),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [25],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [18]}),
.f({\FM_Display/n3 ,\FM_HW/FM_RSSI_SCAN/n25 }),
.q({\FM_HW/rd_SCAN [15],\FM_HW/rd_SCAN [8]})); // ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/demodulation/FM_RSSI.v(102)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*~A)"),
//.LUTF1("(D*~C*~B*~A)"),
//.LUTG0("(~D*C*~B*~A)"),
//.LUTG1("(D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000010000),
.INIT_LUTF1(16'b0000000100000000),
.INIT_LUTG0(16'b0000000000010000),
.INIT_LUTG1(16'b0000000100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b1|FM_HW/FM_RSSI_SCAN/reg3_b2 (
.a({HWDATA[7],HWDATA[7]}),
.b({HWDATA[6],HWDATA[6]}),
.c({HWDATA[5],HWDATA[5]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({HWDATA[4],HWDATA[4]}),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [11],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [12]}),
.f({\FM_HW/_al_u114_o ,\FM_HW/_al_u113_o }),
.q({\FM_HW/rd_SCAN [1],\FM_HW/rd_SCAN [2]})); // ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/demodulation/FM_RSSI.v(102)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b3|FM_HW/FM_RSSI_SCAN/reg3_b7 (
.c({\FM_HW/rd_SCAN [0],\FM_HW/rd_SCAN [4]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [13],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [17]}),
.f({FMDATA_RDATA[0],FMDATA_RDATA[4]}),
.q({\FM_HW/rd_SCAN [3],\FM_HW/rd_SCAN [7]})); // ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/demodulation/FM_RSSI.v(102)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b4|FM_HW/FM_RSSI_SCAN/reg3_b9 (
.c(\FM_HW/rd_SCAN [2:1]),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [14],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [19]}),
.f(FMDATA_RDATA[2:1]),
.q({\FM_HW/rd_SCAN [4],\FM_HW/rd_SCAN [9]})); // ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/demodulation/FM_RSSI.v(102)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b5|FM_HW/FM_RSSI_SCAN/reg3_b6 (
.c({\FM_HW/rd_SCAN [5],\FM_HW/rd_SCAN [3]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [15],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [16]}),
.f({FMDATA_RDATA[5],FMDATA_RDATA[3]}),
.q({\FM_HW/rd_SCAN [5],\FM_HW/rd_SCAN [6]})); // ../rtl/demodulation/FM_RSSI.v(102)
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin (
.a({\FM_HW/ADC_Data [4],1'b0}),
.b({1'b1,open_n22883}),
.ce(\FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.f({\FM_HW/FM_RSSI_SCAN/n2 [0],open_n22901}),
.fco(\FM_HW/FM_RSSI_SCAN/sub0/c1 ),
.q({\FM_HW/FM_RSSI_SCAN/IdataN [0],open_n22904}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg4_b2|FM_RSSI_SCAN/reg4_b1 (
.a(\FM_HW/ADC_Data [6:5]),
.b(2'b11),
.ce(\FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.fci(\FM_HW/FM_RSSI_SCAN/sub0/c1 ),
.f(\FM_HW/FM_RSSI_SCAN/n2 [2:1]),
.fco(\FM_HW/FM_RSSI_SCAN/sub0/c3 ),
.q(\FM_HW/FM_RSSI_SCAN/IdataN [2:1]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg4_b4|FM_RSSI_SCAN/reg4_b3 (
.a(\FM_HW/ADC_Data [8:7]),
.b(2'b11),
.ce(\FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.fci(\FM_HW/FM_RSSI_SCAN/sub0/c3 ),
.f(\FM_HW/FM_RSSI_SCAN/n2 [4:3]),
.fco(\FM_HW/FM_RSSI_SCAN/sub0/c5 ),
.q(\FM_HW/FM_RSSI_SCAN/IdataN [4:3]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg4_b6|FM_RSSI_SCAN/reg4_b5 (
.a(\FM_HW/ADC_Data [10:9]),
.b(2'b11),
.ce(\FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.fci(\FM_HW/FM_RSSI_SCAN/sub0/c5 ),
.f(\FM_HW/FM_RSSI_SCAN/n2 [6:5]),
.fco(\FM_HW/FM_RSSI_SCAN/sub0/c7 ),
.q(\FM_HW/FM_RSSI_SCAN/IdataN [6:5]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg4_b7_al_u153 (
.a({open_n22959,\FM_HW/ADC_Data [11]}),
.b({open_n22960,1'b0}),
.ce(\FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.fci(\FM_HW/FM_RSSI_SCAN/sub0/c7 ),
.f({open_n22977,\FM_HW/FM_RSSI_SCAN/n2 [7]}),
.q({open_n22981,\FM_HW/FM_RSSI_SCAN/IdataN [7]}));
EG_PHY_GCLK \FM_HW/PWM/bufg_feedback (
.clki(\FM_HW/PWM/clk0_buf ),
.clko(\FM_HW/clk_PWM_160 )); // al_ip/PLL_PWM.v(45)
EG_PHY_PLL #(
//.RID("0X0100"),
//.WID("0X0100"),
.CLKC0_CPHASE(5),
.CLKC0_DIV(6),
.CLKC0_DIV2_ENABLE("DISABLE"),
.CLKC0_ENABLE("ENABLE"),
.CLKC0_FPHASE(0),
.CLKC1_CPHASE(3),
.CLKC1_DIV(4),
.CLKC1_DIV2_ENABLE("DISABLE"),
.CLKC1_ENABLE("ENABLE"),
.CLKC1_FPHASE(0),
.CLKC2_CPHASE(2),
.CLKC2_DIV(3),
.CLKC2_DIV2_ENABLE("DISABLE"),
.CLKC2_ENABLE("ENABLE"),
.CLKC2_FPHASE(0),
.CLKC3_CPHASE(11),
.CLKC3_DIV(12),
.CLKC3_DIV2_ENABLE("DISABLE"),
.CLKC3_ENABLE("ENABLE"),
.CLKC3_FPHASE(0),
.CLKC4_CPHASE(1),
.CLKC4_DIV(1),
.CLKC4_DIV2_ENABLE("DISABLE"),
.CLKC4_ENABLE("DISABLE"),
.CLKC4_FPHASE(0),
.DERIVE_PLL_CLOCKS("DISABLE"),
.DPHASE_SOURCE("DISABLE"),
.DYNCFG("DISABLE"),
.FBCLK_DIV(16),
.FEEDBK_MODE("NORMAL"),
.FEEDBK_PATH("CLKC0_EXT"),
.FIN("50.000"),
.FREQ_LOCK_ACCURACY(2),
.GEN_BASIC_CLOCK("DISABLE"),
.GMC_GAIN(0),
.GMC_TEST(14),
.ICP_CURRENT(9),
.IF_ESCLKSTSW("DISABLE"),
.INTFB_WAKE("DISABLE"),
.KVCO(2),
.LPF_CAPACITOR(2),
.LPF_RESISTOR(8),
.NORESET("DISABLE"),
.ODIV_MUXC0("DIV"),
.ODIV_MUXC1("DIV"),
.ODIV_MUXC2("DIV"),
.ODIV_MUXC3("DIV"),
.ODIV_MUXC4("DIV"),
.PLLC2RST_ENA("DISABLE"),
.PLLC34RST_ENA("DISABLE"),
.PLLMRST_ENA("DISABLE"),
.PLLRST_ENA("ENABLE"),
.PLL_LOCK_MODE(0),
.PREDIV_MUXC0("VCO"),
.PREDIV_MUXC1("VCO"),
.PREDIV_MUXC2("VCO"),
.PREDIV_MUXC3("VCO"),
.PREDIV_MUXC4("VCO"),
.REFCLK_DIV(5),
.REFCLK_SEL("INTERNAL"),
.STDBY_ENABLE("ENABLE"),
.STDBY_VCO_ENA("DISABLE"),
.SYNC_ENABLE("DISABLE"),
.VCO_NORESET("DISABLE"))
\FM_HW/PWM/pll_inst (
.daddr(6'b000000),
.dclk(1'b0),
.dcs(1'b0),
.di(8'b00000000),
.dwe(1'b0),
.fbclk(\FM_HW/clk_PWM_160 ),
.load_reg(1'b0),
.psclk(1'b0),
.psclksel(3'b000),
.psdown(1'b0),
.psstep(1'b0),
.refclk(clk_pad),
.reset(1'b0),
.stdby(1'b0),
.clkc({open_n22982,open_n22983,open_n22984,\FM_HW/clk_PWM_256 ,\FM_HW/PWM/clk0_buf })); // al_ip/PLL_PWM.v(80)
EG_PHY_GCLK \FM_HW/U1/bufg_feedback (
.clki(\FM_HW/U1/clk0_buf ),
.clko(\FM_HW/CW_CLK )); // al_ip/PLL_Demodulation.v(45)
EG_PHY_PLL #(
//.RID("0X0101"),
//.WID("0X0101"),
.CLKC0_CPHASE(3),
.CLKC0_DIV(4),
.CLKC0_DIV2_ENABLE("DISABLE"),
.CLKC0_ENABLE("ENABLE"),
.CLKC0_FPHASE(0),
.CLKC1_CPHASE(124),
.CLKC1_DIV(125),
.CLKC1_DIV2_ENABLE("DISABLE"),
.CLKC1_ENABLE("ENABLE"),
.CLKC1_FPHASE(0),
.CLKC2_CPHASE(39),
.CLKC2_DIV(40),
.CLKC2_DIV2_ENABLE("DISABLE"),
.CLKC2_ENABLE("ENABLE"),
.CLKC2_FPHASE(0),
.CLKC3_CPHASE(1),
.CLKC3_DIV(1),
.CLKC3_DIV2_ENABLE("DISABLE"),
.CLKC3_ENABLE("DISABLE"),
.CLKC3_FPHASE(0),
.CLKC4_CPHASE(19),
.CLKC4_DIV(20),
.CLKC4_DIV2_ENABLE("DISABLE"),
.CLKC4_ENABLE("ENABLE"),
.CLKC4_FPHASE(0),
.DERIVE_PLL_CLOCKS("DISABLE"),
.DPHASE_SOURCE("DISABLE"),
.DYNCFG("DISABLE"),
.FBCLK_DIV(4),
.FEEDBK_MODE("NORMAL"),
.FEEDBK_PATH("CLKC0_EXT"),
.FIN("50.000"),
.FREQ_LOCK_ACCURACY(2),
.GEN_BASIC_CLOCK("DISABLE"),
.GMC_GAIN(4),
.GMC_TEST(14),
.ICP_CURRENT(13),
.IF_ESCLKSTSW("DISABLE"),
.INTFB_WAKE("DISABLE"),
.KVCO(4),
.LPF_CAPACITOR(1),
.LPF_RESISTOR(4),
.NORESET("DISABLE"),
.ODIV_MUXC0("DIV"),
.ODIV_MUXC1("DIV"),
.ODIV_MUXC2("DIV"),
.ODIV_MUXC3("DIV"),
.ODIV_MUXC4("DIV"),
.PLLC2RST_ENA("DISABLE"),
.PLLC34RST_ENA("DISABLE"),
.PLLMRST_ENA("DISABLE"),
.PLLRST_ENA("ENABLE"),
.PLL_LOCK_MODE(0),
.PREDIV_MUXC0("VCO"),
.PREDIV_MUXC1("VCO"),
.PREDIV_MUXC2("VCO"),
.PREDIV_MUXC3("VCO"),
.PREDIV_MUXC4("VCO"),
.REFCLK_DIV(1),
.REFCLK_SEL("INTERNAL"),
.STDBY_ENABLE("ENABLE"),
.STDBY_VCO_ENA("DISABLE"),
.SYNC_ENABLE("DISABLE"),
.VCO_NORESET("DISABLE"))
\FM_HW/U1/pll_inst (
.daddr(6'b000000),
.dclk(1'b0),
.dcs(1'b0),
.di(8'b00000000),
.dwe(1'b0),
.fbclk(\FM_HW/CW_CLK ),
.load_reg(1'b0),
.psclk(1'b0),
.psclksel(3'b000),
.psdown(1'b0),
.psstep(1'b0),
.refclk(clk_pad),
.reset(1'b0),
.stdby(1'b0),
.clkc({open_n22995,open_n22996,open_n22997,\FM_HW/ADC_CLK ,\FM_HW/U1/clk0_buf })); // al_ip/PLL_Demodulation.v(80)
EG_PHY_ADC #(
.CH0("DISABLE"),
.CH1("DISABLE"),
.CH2("DISABLE"),
.CH3("DISABLE"),
.CH4("ENABLE"),
.CH5("DISABLE"),
.CH6("ENABLE"),
.CH7("DISABLE"),
.VREF("DISABLE"))
\FM_HW/U2/adc (
.clk(\FM_HW/ADC_CLK ),
.pd(\FM_HW/adc_Power_down ),
.s({1'b1,\FM_HW/Channel [1],1'b0}),
.soc(1'b1),
.dout(\FM_HW/ADC_Data ),
.eoc(\FM_HW/EOC )); // al_ip/ADC_Sampling.v(26)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.MODE("LOGIC"))
\FM_HW/_al_u111 (
.c({open_n23012,HWDATA[12]}),
.d({open_n23015,HWDATA[13]}),
.f({open_n23029,\FM_HW/_al_u111_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*~B*A)"),
//.LUT1("(~1*~D*~C*~B*A)"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u112 (
.a({\FM_HW/_al_u111_o ,\FM_HW/_al_u111_o }),
.b({HWDATA[15],HWDATA[15]}),
.c({HWDATA[14],HWDATA[14]}),
.d({HWDATA[11],HWDATA[11]}),
.mi({open_n23047,HWDATA[10]}),
.fx({open_n23052,\FM_HW/_al_u112_o }));
// ../rtl/demodulation/FM_HW.v(56)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(0*~B*~(D*A)))"),
//.LUTF1("(~B*~A*(D@C))"),
//.LUTG0("~(~C*~(1*~B*~(D*A)))"),
//.LUTG1("(~B*~A*(D@C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b0000000100010000),
.INIT_LUTG0(16'b1111000111110011),
.INIT_LUTG1(16'b0000000100010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u115|FM_HW/reg1_b1 (
.a({HWDATA[7],\FM_HW/_al_u112_o }),
.b({HWDATA[6],\FM_HW/_al_u113_o }),
.c({HWDATA[5],\FM_HW/_al_u114_o }),
.ce(\FM_HW/and_n4_n1_o ),
.clk(clk_pad),
.d({HWDATA[4],\FM_HW/_al_u123_o }),
.e({open_n23055,FM_HW_state[1]}),
.sr(RSTn_pad),
.f({\FM_HW/_al_u115_o ,open_n23070}),
.q({open_n23074,FM_HW_state[1]})); // ../rtl/demodulation/FM_HW.v(56)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_LSLICE #(
//.LUTF0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTF1("(~C*D)"),
//.LUTG0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010111000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100010111000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u117|FM_HW/FM_RSSI_SCAN/reg2_b0 (
.a({open_n23075,\FM_HW/_al_u69_o }),
.b({open_n23076,\FM_HW/FM_RSSI_SCAN/n16 [0]}),
.c({\FM_HW/FM_RSSI_SCAN/counter [1],\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/counter [0],\FM_HW/FM_RSSI_SCAN/counter [0]}),
.sr(RSTn_pad),
.f({\FM_HW/_al_u117_o ,open_n23094}),
.q({open_n23098,\FM_HW/FM_RSSI_SCAN/counter [0]})); // ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~B*A)"),
//.LUTF1("(~0*D*~C*~B*A)"),
//.LUTG0("(~1*~D*~C*~B*A)"),
//.LUTG1("(~1*D*~C*~B*A)"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u118|FM_HW/_al_u120 (
.a({\FM_HW/_al_u117_o ,\FM_HW/_al_u119_o }),
.b({\FM_HW/FM_RSSI_SCAN/counter [10],\FM_HW/FM_RSSI_SCAN/counter [3]}),
.c({\FM_HW/FM_RSSI_SCAN/counter [11],\FM_HW/FM_RSSI_SCAN/counter [4]}),
.d({\FM_HW/FM_RSSI_SCAN/counter [12],\FM_HW/FM_RSSI_SCAN/counter [5]}),
.e({\FM_HW/FM_RSSI_SCAN/counter [2],\FM_HW/FM_RSSI_SCAN/counter [6]}),
.f({\FM_HW/_al_u118_o ,\FM_HW/_al_u120_o }));
// ../rtl/demodulation/FM_HW.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~B*~(0*~(D*A))))"),
//.LUTF1("(C@D)"),
//.LUTG0("(~C*~(~B*~(1*~(D*A))))"),
//.LUTG1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110000001100),
.INIT_LUTF1(16'b0000111111110000),
.INIT_LUTG0(16'b0000110100001111),
.INIT_LUTG1(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u123|FM_HW/adc_Power_down_reg (
.a({open_n23121,\FM_HW/_al_u112_o }),
.b({open_n23122,\FM_HW/_al_u113_o }),
.c({HWDATA[8],\FM_HW/_al_u114_o }),
.ce(\FM_HW/and_n4_n1_o ),
.clk(clk_pad),
.d({HWDATA[9],\FM_HW/_al_u123_o }),
.e({open_n23123,\FM_HW/adc_Power_down }),
.sr(RSTn_pad),
.f({\FM_HW/_al_u123_o ,open_n23138}),
.q({open_n23142,\FM_HW/adc_Power_down })); // ../rtl/demodulation/FM_HW.v(56)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*D))"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0000110011001100),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\FM_HW/_al_u126|_al_u615 (
.a({\FM_HW/_al_u120_o ,open_n23143}),
.b({\FM_HW/_al_u118_o ,_al_u227_o}),
.c({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,FMDATA_RDATA[6]}),
.d({\FM_HW/FM_RSSI_SCAN/RSSI_reg_2 ,_al_u304_o}),
.f({RSSI_interrupt,_al_u615_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTG0("(~D)"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u128 (
.d({open_n23172,LED_pad[7]}),
.f({open_n23190,LED_pad[3]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u12|FM_HW/_al_u16 (
.b({open_n23198,\FM_HW/FM_RSSI_SCAN/QdataN [3]}),
.c({\FM_HW/FM_RSSI_SCAN/QdataN [7],\FM_HW/FM_RSSI_SCAN/QdataN [7]}),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [7],\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [3]}),
.f({\FM_HW/FM_RSSI_SCAN/multlQQ/n2 [7],\FM_HW/FM_RSSI_SCAN/multlQQ/n2 [3]}));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u14|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg3_b0 (
.b({\FM_HW/FM_RSSI_SCAN/QdataN [5],\FM_HW/FM_RSSI_SCAN/QdataN [6]}),
.c({\FM_HW/FM_RSSI_SCAN/QdataN [7],\FM_HW/FM_RSSI_SCAN/QdataN [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [5],\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [6]}),
.mi({open_n23229,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [0]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_RSSI_SCAN/multlQQ/n2 [5],\FM_HW/FM_RSSI_SCAN/multlQQ/n2 [6]}),
.q({open_n23244,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [0]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
// ../rtl/demodulation/FM_RSSI.v(24)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u15|FM_HW/FM_RSSI_SCAN/reg0_b3 (
.b({\FM_HW/FM_RSSI_SCAN/QdataN [4],open_n23247}),
.c({\FM_HW/FM_RSSI_SCAN/QdataN [7],\FM_HW/FM_RSSI_SCAN/IdataN [7]}),
.ce(\FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [4],\FM_HW/FM_RSSI_SCAN/multlII/n1 [7]}),
.mi({open_n23258,\FM_HW/FM_RSSI_SCAN/n2 [3]}),
.f({\FM_HW/FM_RSSI_SCAN/multlQQ/n2 [4],\FM_HW/FM_RSSI_SCAN/multlII/n2 [7]}),
.q({open_n23263,\FM_HW/FM_RSSI_SCAN/QdataN [3]})); // ../rtl/demodulation/FM_RSSI.v(24)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u17|FM_HW/_al_u18 (
.b(\FM_HW/FM_RSSI_SCAN/QdataN [2:1]),
.c({\FM_HW/FM_RSSI_SCAN/QdataN [7],\FM_HW/FM_RSSI_SCAN/QdataN [7]}),
.d(\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [2:1]),
.f(\FM_HW/FM_RSSI_SCAN/multlQQ/n2 [2:1]));
// ../rtl/demodulation/FM_RSSI.v(24)
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u19|FM_HW/FM_RSSI_SCAN/reg0_b1 (
.b({\FM_HW/FM_RSSI_SCAN/QdataN [0],\FM_HW/FM_RSSI_SCAN/IdataN [2]}),
.c({\FM_HW/FM_RSSI_SCAN/QdataN [7],\FM_HW/FM_RSSI_SCAN/IdataN [7]}),
.ce(\FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [0],\FM_HW/FM_RSSI_SCAN/multlII/n1 [2]}),
.mi({open_n23302,\FM_HW/FM_RSSI_SCAN/n2 [1]}),
.f({\FM_HW/FM_RSSI_SCAN/multlQQ/n2 [0],\FM_HW/FM_RSSI_SCAN/multlII/n2 [2]}),
.q({open_n23307,\FM_HW/FM_RSSI_SCAN/QdataN [1]})); // ../rtl/demodulation/FM_RSSI.v(24)
// ../rtl/peripherals/Audio_PWM.v(22)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~D))"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u28|FM_HW/Audio_PWM/reg0_b11 (
.b({open_n23310,\FM_HW/Audio_PWM/N }),
.c({\FM_HW/adc_Power_down ,\FM_HW/Audio_PWM/n2 [11]}),
.clk(\FM_HW/clk_PWM_256 ),
.d({\FM_HW/Audio_PWM/audio_pwm_reg ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.f({LED_pad[7],open_n23324}),
.q({open_n23328,\FM_HW/Audio_PWM/cnt [11]})); // ../rtl/peripherals/Audio_PWM.v(22)
// ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("(~C*B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b0000000000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u45|FM_HW/FM_RSSI_SCAN/reg1_b0 (
.a({open_n23329,\FM_HW/_al_u69_o }),
.b({FM_HW_state[2],\FM_HW/FM_RSSI_SCAN/n14 }),
.c({FM_HW_state[3],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [0]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({FM_HW_state[1],\FM_HW/FM_RSSI_SCAN/n15 [0]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,open_n23343}),
.q({open_n23347,\FM_HW/FM_RSSI_SCAN/RSSI_SUM [0]})); // ../rtl/demodulation/FM_RSSI.v(70)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u46|FM_HW/_al_u58 (
.c({\FM_HW/rd_SCAN [9],\FM_HW/rd_SCAN [12]}),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.f({FMDATA_RDATA[9],FMDATA_RDATA[12]}));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u47|FM_HW/_al_u55 (
.c({\FM_HW/rd_SCAN [8],\FM_HW/rd_SCAN [15]}),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.f({FMDATA_RDATA[8],FMDATA_RDATA[15]}));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u54|_al_u227 (
.c({\FM_HW/rd_SCAN [16],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\Interconncet/SlaveMUX/hsel_reg [4]}),
.f({FMDATA_RDATA[16],_al_u227_o}));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u56|FMDATA_Interface/reg0_b2 (
.c({\FM_HW/rd_SCAN [14],\FMDATA_Interface/wr_en_reg }),
.ce(\FMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FMDATA_Interface/size_reg [3]}),
.mi({open_n23427,HADDR[4]}),
.sr(cpuresetn),
.f({FMDATA_RDATA[14],FMDATA_WRITE[3]}),
.q({open_n23442,FMDATA_WADDR[2]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/demodulation/FM_RSSI.v(87)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\FM_HW/_al_u57|FM_HW/FM_RSSI_SCAN/RSSI_reg_1_reg (
.b({open_n23445,\FM_HW/_al_u118_o }),
.c({\FM_HW/rd_SCAN [13],\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.clk(clk_pad),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/_al_u120_o }),
.sr(RSTn_pad),
.f({FMDATA_RDATA[13],open_n23459}),
.q({open_n23463,\FM_HW/FM_RSSI_SCAN/RSSI_reg_1 })); // ../rtl/demodulation/FM_RSSI.v(87)
// ../rtl/demodulation/FM_RSSI.v(53)
EG_PHY_MSLICE #(
//.LUT0("(D*~(B)*~(C)+~(D)*B*~(C)+D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111111100),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u59|FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_reg (
.b({open_n23466,\FM_HW/_al_u69_o }),
.c({\FM_HW/rd_SCAN [11],\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate }),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.f({FMDATA_RDATA[11],open_n23481}),
.q({open_n23485,\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate })); // ../rtl/demodulation/FM_RSSI.v(53)
// ../rtl/demodulation/FM_RSSI.v(102)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u60|FM_HW/FM_RSSI_SCAN/reg3_b11 (
.b({open_n23488,\FM_HW/_al_u66_o }),
.c({\FM_HW/rd_SCAN [10],FMDATA_WRITE[0]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/_al_u65_o }),
.mi({open_n23492,\FM_HW/FM_RSSI_SCAN/RSSI_SUM [21]}),
.f({FMDATA_RDATA[10],\FM_HW/and_n4_n1_o }),
.q({open_n23508,\FM_HW/rd_SCAN [11]})); // ../rtl/demodulation/FM_RSSI.v(102)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(367)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(C*~B*~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(C*~B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000000110000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u69|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/gray_counter_reg_al_u18_b0 (
.b({FM_HW_state[2],open_n23511}),
.c({FM_HW_state[3],open_n23512}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_re_empty_equal_o_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({FM_HW_state[1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0_al_n14 }),
.sr(RSTn_pad),
.f({\FM_HW/_al_u69_o ,open_n23529}),
.q({open_n23533,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0_al_n14 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(367)
EG_PHY_GCLK \FM_HW/clk_fm_demo_sampling_gclk_inst (
.clki(\FM_HW/clk_fm_demo_sampling ),
.clko(\FM_HW/clk_fm_demo_sampling_gclk_net ));
// ../rtl/demodulation/FM_HW.v(56)
// ../rtl/demodulation/FM_HW.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*~(D)*0+A*~(C)*~(D)*0+~(A)*C*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+~(A)*C*D*0+A*C*D*0))"),
//.LUTF1("(~B*(A*~(C)*D*~(0)+~(A)*~(C)*~(D)*0+A*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+~(A)*C*D*0+A*C*D*0))"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*~(D)*1+A*~(C)*~(D)*1+~(A)*C*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+~(A)*C*D*1+A*C*D*1))"),
//.LUTG1("(~B*(A*~(C)*D*~(1)+~(A)*~(C)*~(D)*1+A*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+~(A)*C*D*1+A*C*D*1))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0011000100110011),
.INIT_LUTG1(16'b0011001100010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/reg1_b2|FM_HW/reg1_b3 (
.a({\FM_HW/_al_u112_o ,\FM_HW/_al_u112_o }),
.b({\FM_HW/_al_u115_o ,\FM_HW/_al_u115_o }),
.c({HWDATA[9],HWDATA[9]}),
.ce(\FM_HW/and_n4_n1_o ),
.clk(clk_pad),
.d({HWDATA[8],HWDATA[8]}),
.e({FM_HW_state[2],FM_HW_state[3]}),
.sr(RSTn_pad),
.q({FM_HW_state[2],FM_HW_state[3]})); // ../rtl/demodulation/FM_HW.v(56)
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u1/ucin_al_u136"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u1/u11_al_u139 (
.a({\FM_HW/FM_RSSI_SCAN/multlII/n6 [13],\FM_HW/FM_RSSI_SCAN/multlII/n6 [11]}),
.b({\FM_HW/FM_RSSI_SCAN/multlII/n6 [14],\FM_HW/FM_RSSI_SCAN/multlII/n6 [12]}),
.c(2'b00),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [13],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [11]}),
.e({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [14],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [12]}),
.fci(\FM_HW/u1/c11 ),
.f({\FM_HW/n0 [13],\FM_HW/n0 [11]}),
.fco(\FM_HW/u1/c15 ),
.fx({\FM_HW/n0 [14],\FM_HW/n0 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u1/ucin_al_u136"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u1/u15_al_u140 (
.a({open_n23571,\FM_HW/FM_RSSI_SCAN/multlII/n6 [15]}),
.c(2'b00),
.d({open_n23576,\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [15]}),
.fci(\FM_HW/u1/c15 ),
.f({open_n23593,\FM_HW/n0 [15]}),
.fx({open_n23595,\FM_HW/n0 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u1/ucin_al_u136"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u1/u3_al_u137 (
.a({\FM_HW/FM_RSSI_SCAN/multlII/n6 [5],\FM_HW/FM_RSSI_SCAN/multlII/n6 [3]}),
.b({\FM_HW/FM_RSSI_SCAN/multlII/n6 [6],\FM_HW/FM_RSSI_SCAN/multlII/n6 [4]}),
.c(2'b00),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [5],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [3]}),
.e({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [6],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [4]}),
.fci(\FM_HW/u1/c3 ),
.f({\FM_HW/n0 [5],\FM_HW/n0 [3]}),
.fco(\FM_HW/u1/c7 ),
.fx({\FM_HW/n0 [6],\FM_HW/n0 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u1/ucin_al_u136"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u1/u7_al_u138 (
.a({\FM_HW/FM_RSSI_SCAN/multlII/n6 [9],\FM_HW/FM_RSSI_SCAN/multlII/n6 [7]}),
.b({\FM_HW/FM_RSSI_SCAN/multlII/n6 [10],\FM_HW/FM_RSSI_SCAN/multlII/n6 [8]}),
.c(2'b00),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [9],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [7]}),
.e({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [10],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [8]}),
.fci(\FM_HW/u1/c7 ),
.f({\FM_HW/n0 [9],\FM_HW/n0 [7]}),
.fco(\FM_HW/u1/c11 ),
.fx({\FM_HW/n0 [10],\FM_HW/n0 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u1/ucin_al_u136"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/u1/ucin_al_u136 (
.a({\FM_HW/FM_RSSI_SCAN/multlII/n6 [1],1'b0}),
.b({\FM_HW/FM_RSSI_SCAN/multlII/n6 [2],\FM_HW/FM_RSSI_SCAN/multlII/n6 [0]}),
.c(2'b00),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [1],1'b1}),
.e({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [2],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [0]}),
.mi({\ethernet_i0/mac_test0/trigger_delay4 ,\ethernet_i0/mac_test0/ram_wr_data [3]}),
.sr(RSTn_pad),
.f({\FM_HW/n0 [1],open_n23647}),
.fco(\FM_HW/u1/c3 ),
.fx({\FM_HW/n0 [2],\FM_HW/n0 [0]}),
.q({\ethernet_i0/mac_test0/trigger_delay ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [3]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u129"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/u11_al_u132 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [13],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [11]}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [14],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [12]}),
.c(2'b00),
.d({\FM_HW/n0 [13],\FM_HW/n0 [11]}),
.e({\FM_HW/n0 [14],\FM_HW/n0 [12]}),
.fci(\FM_HW/u2/c11 ),
.f({\FM_HW/FM_RSSI_SCAN/n15 [13],\FM_HW/FM_RSSI_SCAN/n15 [11]}),
.fco(\FM_HW/u2/c15 ),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [14],\FM_HW/FM_RSSI_SCAN/n15 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u129"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/u15_al_u133 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [17],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [15]}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [18],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [16]}),
.c(2'b00),
.d({1'b0,\FM_HW/n0 [15]}),
.e({1'b0,\FM_HW/n0 [16]}),
.fci(\FM_HW/u2/c15 ),
.f({\FM_HW/FM_RSSI_SCAN/n15 [17],\FM_HW/FM_RSSI_SCAN/n15 [15]}),
.fco(\FM_HW/u2/c19 ),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [18],\FM_HW/FM_RSSI_SCAN/n15 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u129"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/u19_al_u134 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [21],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [19]}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [22],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [20]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/u2/c19 ),
.f({\FM_HW/FM_RSSI_SCAN/n15 [21],\FM_HW/FM_RSSI_SCAN/n15 [19]}),
.fco(\FM_HW/u2/c23 ),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [22],\FM_HW/FM_RSSI_SCAN/n15 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u129"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/u23_al_u135 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [25],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [23]}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [26],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [24]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/u2/c23 ),
.f({\FM_HW/FM_RSSI_SCAN/n15 [25],\FM_HW/FM_RSSI_SCAN/n15 [23]}),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [26],\FM_HW/FM_RSSI_SCAN/n15 [24]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u129"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/u3_al_u130 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [5],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [3]}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [6],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [4]}),
.c(2'b00),
.d({\FM_HW/n0 [5],\FM_HW/n0 [3]}),
.e({\FM_HW/n0 [6],\FM_HW/n0 [4]}),
.fci(\FM_HW/u2/c3 ),
.f({\FM_HW/FM_RSSI_SCAN/n15 [5],\FM_HW/FM_RSSI_SCAN/n15 [3]}),
.fco(\FM_HW/u2/c7 ),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [6],\FM_HW/FM_RSSI_SCAN/n15 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u129"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/u7_al_u131 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [9],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [7]}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [10],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [8]}),
.c(2'b00),
.d({\FM_HW/n0 [9],\FM_HW/n0 [7]}),
.e({\FM_HW/n0 [10],\FM_HW/n0 [8]}),
.fci(\FM_HW/u2/c7 ),
.f({\FM_HW/FM_RSSI_SCAN/n15 [9],\FM_HW/FM_RSSI_SCAN/n15 [7]}),
.fco(\FM_HW/u2/c11 ),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [10],\FM_HW/FM_RSSI_SCAN/n15 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u129"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/ucin_al_u129 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [1],1'b0}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [2],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [0]}),
.c(2'b00),
.d({\FM_HW/n0 [1],1'b1}),
.e({\FM_HW/n0 [2],\FM_HW/n0 [0]}),
.f({\FM_HW/FM_RSSI_SCAN/n15 [1],open_n23774}),
.fco(\FM_HW/u2/c3 ),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [2],\FM_HW/FM_RSSI_SCAN/n15 [0]}));
// ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
// ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\Interconncet/SlaveMUX/reg0_b0|Interconncet/SlaveMUX/reg0_b4 (
.a({_al_u364_o,_al_u364_o}),
.b({_al_u365_o,_al_u365_o}),
.c({_al_u446_o,_al_u367_o}),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({HADDR[29],HADDR[29]}),
.sr(cpuresetn),
.f({HSEL_P5,HSEL_P1}),
.q({\Interconncet/SlaveMUX/hsel_reg [0],\Interconncet/SlaveMUX/hsel_reg [4]})); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
// ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
// ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\Interconncet/SlaveMUX/reg0_b1|Interconncet/SlaveMUX/reg0_b5 (
.b({_al_u538_o,_al_u366_o}),
.c({HADDR[28],_al_u367_o}),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({_al_u537_o,_al_u364_o}),
.sr(cpuresetn),
.f({open_n23814,HSEL_P0}),
.q({\Interconncet/SlaveMUX/hsel_reg [1],\Interconncet/SlaveMUX/hsel_reg [5]})); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_GCLK \MSI_REF_CLK/bufg_feedback (
.clki(\MSI_REF_CLK/clk0_buf ),
.clko(CW_CLK_MSI)); // al_ip/RF_REF_24M.v(39)
EG_PHY_PLL #(
//.RID("0X0103"),
//.WID("0X0103"),
.CLKC0_CPHASE(11),
.CLKC0_DIV(12),
.CLKC0_DIV2_ENABLE("DISABLE"),
.CLKC0_ENABLE("ENABLE"),
.CLKC0_FPHASE(0),
.CLKC1_CPHASE(1),
.CLKC1_DIV(1),
.CLKC1_DIV2_ENABLE("DISABLE"),
.CLKC1_ENABLE("DISABLE"),
.CLKC1_FPHASE(0),
.CLKC2_CPHASE(1),
.CLKC2_DIV(1),
.CLKC2_DIV2_ENABLE("DISABLE"),
.CLKC2_ENABLE("DISABLE"),
.CLKC2_FPHASE(0),
.CLKC3_CPHASE(49),
.CLKC3_DIV(50),
.CLKC3_DIV2_ENABLE("DISABLE"),
.CLKC3_ENABLE("ENABLE"),
.CLKC3_FPHASE(0),
.CLKC4_CPHASE(1),
.CLKC4_DIV(1),
.CLKC4_DIV2_ENABLE("DISABLE"),
.CLKC4_ENABLE("DISABLE"),
.CLKC4_FPHASE(0),
.DERIVE_PLL_CLOCKS("DISABLE"),
.DPHASE_SOURCE("DISABLE"),
.DYNCFG("DISABLE"),
.FBCLK_DIV(2),
.FEEDBK_MODE("NORMAL"),
.FEEDBK_PATH("CLKC0_EXT"),
.FIN("50.000"),
.FREQ_LOCK_ACCURACY(2),
.GEN_BASIC_CLOCK("DISABLE"),
.GMC_GAIN(4),
.GMC_TEST(14),
.ICP_CURRENT(13),
.IF_ESCLKSTSW("DISABLE"),
.INTFB_WAKE("DISABLE"),
.KVCO(4),
.LPF_CAPACITOR(1),
.LPF_RESISTOR(4),
.NORESET("DISABLE"),
.ODIV_MUXC0("DIV"),
.ODIV_MUXC1("DIV"),
.ODIV_MUXC2("DIV"),
.ODIV_MUXC3("DIV"),
.ODIV_MUXC4("DIV"),
.PLLC2RST_ENA("DISABLE"),
.PLLC34RST_ENA("DISABLE"),
.PLLMRST_ENA("DISABLE"),
.PLLRST_ENA("ENABLE"),
.PLL_LOCK_MODE(0),
.PREDIV_MUXC0("VCO"),
.PREDIV_MUXC1("VCO"),
.PREDIV_MUXC2("VCO"),
.PREDIV_MUXC3("VCO"),
.PREDIV_MUXC4("VCO"),
.REFCLK_DIV(1),
.REFCLK_SEL("INTERNAL"),
.STDBY_ENABLE("ENABLE"),
.STDBY_VCO_ENA("DISABLE"),
.SYNC_ENABLE("DISABLE"),
.VCO_NORESET("DISABLE"))
\MSI_REF_CLK/pll_inst (
.daddr(6'b000000),
.dclk(1'b0),
.dcs(1'b0),
.di(8'b00000000),
.dwe(1'b0),
.fbclk(CW_CLK_MSI),
.load_reg(1'b0),
.psclk(1'b0),
.psclksel(3'b000),
.psdown(1'b0),
.psstep(1'b0),
.refclk(clk_pad),
.reset(1'b0),
.stdby(1'b0),
.clkc({open_n23818,MSI_REFCLK_pad,open_n23819,open_n23820,\MSI_REF_CLK/clk0_buf })); // al_ip/RF_REF_24M.v(66)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMCODE_Interface/reg0_b10|RAMCODE_Interface/reg0_b11 (
.a({\u_logic/Xs1iu6 ,\u_logic/_al_u3082_o }),
.b({\u_logic/Vr1iu6 ,\u_logic/Dw1iu6 }),
.c({\u_logic/Etfbx6 ,\u_logic/Cs1iu6 }),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/Hrfbx6 ,\u_logic/Kpfbx6 }),
.e({open_n23831,\u_logic/Nnfbx6 }),
.mi({HADDR[12],HADDR[13]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3084_o ,\u_logic/_al_u3083_o }),
.q({RAMCODE_WADDR[10],RAMCODE_WADDR[11]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(~D*~B))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(A*~(1*C)*~(~D*~B))"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101010001000),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0000101000001000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMCODE_Interface/reg0_b2|RAMCODE_Interface/reg0_b3 (
.a({\u_logic/_al_u2509_o ,\u_logic/_al_u3622_o }),
.b({\u_logic/_al_u2513_o ,\u_logic/_al_u2505_o }),
.c({\u_logic/_al_u2517_o ,\u_logic/_al_u2517_o }),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/_al_u2537_o ,\u_logic/Etfbx6 }),
.e({open_n23847,\u_logic/T2dbx6 }),
.mi({HADDR[4],HADDR[5]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4008_o ,\u_logic/_al_u3623_o }),
.q({RAMCODE_WADDR[2],RAMCODE_WADDR[3]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(0@C)*~(~D*~B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~A*(1@C)*~(~D*~B))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101000001000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000010100000100),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMCODE_Interface/reg0_b6|RAMCODE_Interface/reg0_b8 (
.a({\u_logic/_al_u3614_o ,\u_logic/_al_u3578_o }),
.b({\u_logic/_al_u3616_o ,\u_logic/_al_u2541_o }),
.c({\u_logic/_al_u3618_o ,\u_logic/_al_u2545_o }),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/_al_u3620_o ,\u_logic/Hqabx6 }),
.e({open_n23863,\u_logic/Xpeax6 }),
.mi({HADDR[8],HADDR[10]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3621_o ,\u_logic/_al_u3579_o }),
.q({RAMCODE_WADDR[6],RAMCODE_WADDR[8]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMCODE_Interface/reg0_b9|RAMCODE_Interface/reg0_b4 (
.a({open_n23879,\u_logic/_al_u2505_o }),
.b({open_n23880,\u_logic/_al_u2541_o }),
.c({\u_logic/I0dax6 ,\u_logic/Hqabx6 }),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/_al_u2537_o ,\u_logic/Kpfbx6 }),
.mi({HADDR[11],HADDR[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3634_o ,\u_logic/_al_u3584_o }),
.q({RAMCODE_WADDR[9],RAMCODE_WADDR[4]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMCODE_Interface/reg1_b1|RAMCODE_Interface/reg1_b0 (
.c({\u_logic/P9bax6 ,\u_logic/Lbbax6 }),
.ce(\RAMCODE_Interface/n10 ),
.clk(clk_pad),
.d({\u_logic/_al_u3290_o ,\u_logic/_al_u3290_o }),
.mi({\FMDATA_Interface/sel0_b1_sel_o ,\FMDATA_Interface/sel0_b0_sel_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u3291_o ,\u_logic/_al_u3292_o }),
.q(\RAMCODE_Interface/size_reg [1:0])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
//.LUT1("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011001100010011),
.INIT_LUT1(16'b1011001100010011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMDATA_Interface/reg0_b3|RAMDATA_Interface/reg0_b2 (
.a({\u_logic/HMASTER ,\u_logic/HMASTER }),
.b({\u_logic/_al_u2607_o ,\u_logic/_al_u2618_o }),
.c({\u_logic/_al_u2756_o ,\u_logic/_al_u2756_o }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/Bf3qw6 ,\u_logic/Pg3qw6 }),
.sr(cpuresetn),
.f(HADDR[5:4]),
.q(RAMDATA_WADDR[3:2])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
//.LUT1("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011001100010011),
.INIT_LUT1(16'b1011001100010011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMDATA_Interface/reg0_b6|RAMDATA_Interface/reg0_b5 (
.a({\u_logic/HMASTER ,\u_logic/HMASTER }),
.b({\u_logic/_al_u2521_o ,\u_logic/_al_u2533_o }),
.c({\u_logic/_al_u2756_o ,\u_logic/_al_u2756_o }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/Ke1qw6 ,\u_logic/Nd3qw6 }),
.sr(cpuresetn),
.f(HADDR[8:7]),
.q(RAMDATA_WADDR[6:5])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUT1("~(~(~B*~A)*~(D)*~(C)+~(~B*~A)*D*~(C)+~(~(~B*~A))*D*C+~(~B*~A)*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010111110100),
.INIT_LUT1(16'b0000000111110001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMDATA_Interface/reg1_b0|RAMDATA_Interface/reg1_b1 (
.a({HADDR[1],HADDR[1]}),
.b({HADDR[0],HADDR[0]}),
.c({HSIZE[1],HSIZE[1]}),
.ce(\RAMDATA_Interface/n10 ),
.clk(clk_pad),
.d({HSIZE[0],HSIZE[0]}),
.sr(cpuresetn),
.f({\FMDATA_Interface/sel0_b0_sel_o ,\FMDATA_Interface/sel0_b1_sel_o }),
.q({\RAMDATA_Interface/size_reg [0],\RAMDATA_Interface/size_reg [1]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
EG_PHY_MSLICE #(
//.LUT0("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUT1("~(~(~B*A)*~(D)*~(C)+~(~B*A)*D*~(C)+~(~(~B*A))*D*C+~(~B*A)*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000101011111000),
.INIT_LUT1(16'b0000001011110010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMDATA_Interface/reg1_b2|RAMDATA_Interface/reg1_b3 (
.a({HADDR[1],HADDR[1]}),
.b({HADDR[0],HADDR[0]}),
.c({HSIZE[1],HSIZE[1]}),
.ce(\RAMDATA_Interface/n10 ),
.clk(clk_pad),
.d({HSIZE[0],HSIZE[0]}),
.sr(cpuresetn),
.f({\FMDATA_Interface/sel0_b2_sel_o ,\FMDATA_Interface/sel0_b3_sel_o }),
.q({\RAMDATA_Interface/size_reg [2],\RAMDATA_Interface/size_reg [3]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0004"),
//.WID("0x0004"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_000 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n23979,open_n23980,open_n23981,HWDATA[1],open_n23982,open_n23983,HWDATA[0],open_n23984,open_n23985}),
.wea(RAMCODE_WRITE[0]),
.dob({open_n24009,open_n24010,open_n24011,open_n24012,open_n24013,open_n24014,open_n24015,RAMCODE_RDATA[1:0]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0005"),
//.WID("0x0005"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_002 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24024,open_n24025,open_n24026,HWDATA[3],open_n24027,open_n24028,HWDATA[2],open_n24029,open_n24030}),
.wea(RAMCODE_WRITE[0]),
.dob({open_n24054,open_n24055,open_n24056,open_n24057,open_n24058,open_n24059,open_n24060,RAMCODE_RDATA[3:2]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0006"),
//.WID("0x0006"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_004 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24069,open_n24070,open_n24071,HWDATA[5],open_n24072,open_n24073,HWDATA[4],open_n24074,open_n24075}),
.wea(RAMCODE_WRITE[0]),
.dob({open_n24099,open_n24100,open_n24101,open_n24102,open_n24103,open_n24104,open_n24105,RAMCODE_RDATA[5:4]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0007"),
//.WID("0x0007"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_006 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24114,open_n24115,open_n24116,HWDATA[7],open_n24117,open_n24118,HWDATA[6],open_n24119,open_n24120}),
.wea(RAMCODE_WRITE[0]),
.dob({open_n24144,open_n24145,open_n24146,open_n24147,open_n24148,open_n24149,open_n24150,RAMCODE_RDATA[7:6]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0008"),
//.WID("0x0008"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_000 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24159,open_n24160,open_n24161,HWDATA[9],open_n24162,open_n24163,HWDATA[8],open_n24164,open_n24165}),
.wea(RAMCODE_WRITE[1]),
.dob({open_n24189,open_n24190,open_n24191,open_n24192,open_n24193,open_n24194,open_n24195,RAMCODE_RDATA[9:8]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0009"),
//.WID("0x0009"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_002 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24204,open_n24205,open_n24206,HWDATA[11],open_n24207,open_n24208,HWDATA[10],open_n24209,open_n24210}),
.wea(RAMCODE_WRITE[1]),
.dob({open_n24234,open_n24235,open_n24236,open_n24237,open_n24238,open_n24239,open_n24240,RAMCODE_RDATA[11:10]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x000A"),
//.WID("0x000A"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_004 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24249,open_n24250,open_n24251,HWDATA[13],open_n24252,open_n24253,HWDATA[12],open_n24254,open_n24255}),
.wea(RAMCODE_WRITE[1]),
.dob({open_n24279,open_n24280,open_n24281,open_n24282,open_n24283,open_n24284,open_n24285,RAMCODE_RDATA[13:12]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x000B"),
//.WID("0x000B"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_006 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24294,open_n24295,open_n24296,HWDATA[15],open_n24297,open_n24298,HWDATA[14],open_n24299,open_n24300}),
.wea(RAMCODE_WRITE[1]),
.dob({open_n24324,open_n24325,open_n24326,open_n24327,open_n24328,open_n24329,open_n24330,RAMCODE_RDATA[15:14]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x000C"),
//.WID("0x000C"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_000 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24339,open_n24340,open_n24341,HWDATA[17],open_n24342,open_n24343,HWDATA[16],open_n24344,open_n24345}),
.wea(RAMCODE_WRITE[2]),
.dob({open_n24369,open_n24370,open_n24371,open_n24372,open_n24373,open_n24374,open_n24375,RAMCODE_RDATA[17:16]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x000D"),
//.WID("0x000D"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_002 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24384,open_n24385,open_n24386,HWDATA[19],open_n24387,open_n24388,HWDATA[18],open_n24389,open_n24390}),
.wea(RAMCODE_WRITE[2]),
.dob({open_n24414,open_n24415,open_n24416,open_n24417,open_n24418,open_n24419,open_n24420,RAMCODE_RDATA[19:18]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x000E"),
//.WID("0x000E"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_004 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24429,open_n24430,open_n24431,HWDATA[21],open_n24432,open_n24433,HWDATA[20],open_n24434,open_n24435}),
.wea(RAMCODE_WRITE[2]),
.dob({open_n24459,open_n24460,open_n24461,open_n24462,open_n24463,open_n24464,open_n24465,RAMCODE_RDATA[21:20]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x000F"),
//.WID("0x000F"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_006 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24474,open_n24475,open_n24476,HWDATA[23],open_n24477,open_n24478,HWDATA[22],open_n24479,open_n24480}),
.wea(RAMCODE_WRITE[2]),
.dob({open_n24504,open_n24505,open_n24506,open_n24507,open_n24508,open_n24509,open_n24510,RAMCODE_RDATA[23:22]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0010"),
//.WID("0x0010"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_000 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24519,open_n24520,open_n24521,HWDATA[25],open_n24522,open_n24523,HWDATA[24],open_n24524,open_n24525}),
.wea(RAMCODE_WRITE[3]),
.dob({open_n24549,open_n24550,open_n24551,open_n24552,open_n24553,open_n24554,open_n24555,RAMCODE_RDATA[25:24]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0011"),
//.WID("0x0011"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_002 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24564,open_n24565,open_n24566,HWDATA[27],open_n24567,open_n24568,HWDATA[26],open_n24569,open_n24570}),
.wea(RAMCODE_WRITE[3]),
.dob({open_n24594,open_n24595,open_n24596,open_n24597,open_n24598,open_n24599,open_n24600,RAMCODE_RDATA[27:26]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0012"),
//.WID("0x0012"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_004 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24609,open_n24610,open_n24611,HWDATA[29],open_n24612,open_n24613,HWDATA[28],open_n24614,open_n24615}),
.wea(RAMCODE_WRITE[3]),
.dob({open_n24639,open_n24640,open_n24641,open_n24642,open_n24643,open_n24644,open_n24645,RAMCODE_RDATA[29:28]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0013"),
//.WID("0x0013"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_006 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24654,open_n24655,open_n24656,HWDATA[31],open_n24657,open_n24658,HWDATA[30],open_n24659,open_n24660}),
.wea(RAMCODE_WRITE[3]),
.dob({open_n24684,open_n24685,open_n24686,open_n24687,open_n24688,open_n24689,open_n24690,RAMCODE_RDATA[31:30]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0014"),
//.WID("0x0014"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_000 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24699,open_n24700,open_n24701,HWDATA[1],open_n24702,open_n24703,HWDATA[0],open_n24704,open_n24705}),
.wea(RAMDATA_WRITE[0]),
.dob({open_n24729,open_n24730,open_n24731,open_n24732,open_n24733,open_n24734,open_n24735,RAMDATA_RDATA[1:0]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0015"),
//.WID("0x0015"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_002 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24744,open_n24745,open_n24746,HWDATA[3],open_n24747,open_n24748,HWDATA[2],open_n24749,open_n24750}),
.wea(RAMDATA_WRITE[0]),
.dob({open_n24774,open_n24775,open_n24776,open_n24777,open_n24778,open_n24779,open_n24780,RAMDATA_RDATA[3:2]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0016"),
//.WID("0x0016"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_004 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24789,open_n24790,open_n24791,HWDATA[5],open_n24792,open_n24793,HWDATA[4],open_n24794,open_n24795}),
.wea(RAMDATA_WRITE[0]),
.dob({open_n24819,open_n24820,open_n24821,open_n24822,open_n24823,open_n24824,open_n24825,RAMDATA_RDATA[5:4]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0017"),
//.WID("0x0017"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_006 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24834,open_n24835,open_n24836,HWDATA[7],open_n24837,open_n24838,HWDATA[6],open_n24839,open_n24840}),
.wea(RAMDATA_WRITE[0]),
.dob({open_n24864,open_n24865,open_n24866,open_n24867,open_n24868,open_n24869,open_n24870,RAMDATA_RDATA[7:6]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0018"),
//.WID("0x0018"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_000 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24879,open_n24880,open_n24881,HWDATA[9],open_n24882,open_n24883,HWDATA[8],open_n24884,open_n24885}),
.wea(RAMDATA_WRITE[1]),
.dob({open_n24909,open_n24910,open_n24911,open_n24912,open_n24913,open_n24914,open_n24915,RAMDATA_RDATA[9:8]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0019"),
//.WID("0x0019"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_002 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24924,open_n24925,open_n24926,HWDATA[11],open_n24927,open_n24928,HWDATA[10],open_n24929,open_n24930}),
.wea(RAMDATA_WRITE[1]),
.dob({open_n24954,open_n24955,open_n24956,open_n24957,open_n24958,open_n24959,open_n24960,RAMDATA_RDATA[11:10]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x001A"),
//.WID("0x001A"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_004 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n24969,open_n24970,open_n24971,HWDATA[13],open_n24972,open_n24973,HWDATA[12],open_n24974,open_n24975}),
.wea(RAMDATA_WRITE[1]),
.dob({open_n24999,open_n25000,open_n25001,open_n25002,open_n25003,open_n25004,open_n25005,RAMDATA_RDATA[13:12]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x001B"),
//.WID("0x001B"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_006 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n25014,open_n25015,open_n25016,HWDATA[15],open_n25017,open_n25018,HWDATA[14],open_n25019,open_n25020}),
.wea(RAMDATA_WRITE[1]),
.dob({open_n25044,open_n25045,open_n25046,open_n25047,open_n25048,open_n25049,open_n25050,RAMDATA_RDATA[15:14]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x001C"),
//.WID("0x001C"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_000 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n25059,open_n25060,open_n25061,HWDATA[17],open_n25062,open_n25063,HWDATA[16],open_n25064,open_n25065}),
.wea(RAMDATA_WRITE[2]),
.dob({open_n25089,open_n25090,open_n25091,open_n25092,open_n25093,open_n25094,open_n25095,RAMDATA_RDATA[17:16]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x001D"),
//.WID("0x001D"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_002 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n25104,open_n25105,open_n25106,HWDATA[19],open_n25107,open_n25108,HWDATA[18],open_n25109,open_n25110}),
.wea(RAMDATA_WRITE[2]),
.dob({open_n25134,open_n25135,open_n25136,open_n25137,open_n25138,open_n25139,open_n25140,RAMDATA_RDATA[19:18]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x001E"),
//.WID("0x001E"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_004 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n25149,open_n25150,open_n25151,HWDATA[21],open_n25152,open_n25153,HWDATA[20],open_n25154,open_n25155}),
.wea(RAMDATA_WRITE[2]),
.dob({open_n25179,open_n25180,open_n25181,open_n25182,open_n25183,open_n25184,open_n25185,RAMDATA_RDATA[21:20]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x001F"),
//.WID("0x001F"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_006 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n25194,open_n25195,open_n25196,HWDATA[23],open_n25197,open_n25198,HWDATA[22],open_n25199,open_n25200}),
.wea(RAMDATA_WRITE[2]),
.dob({open_n25224,open_n25225,open_n25226,open_n25227,open_n25228,open_n25229,open_n25230,RAMDATA_RDATA[23:22]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0020"),
//.WID("0x0020"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_000 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n25239,open_n25240,open_n25241,HWDATA[25],open_n25242,open_n25243,HWDATA[24],open_n25244,open_n25245}),
.wea(RAMDATA_WRITE[3]),
.dob({open_n25269,open_n25270,open_n25271,open_n25272,open_n25273,open_n25274,open_n25275,RAMDATA_RDATA[25:24]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0021"),
//.WID("0x0021"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_002 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n25284,open_n25285,open_n25286,HWDATA[27],open_n25287,open_n25288,HWDATA[26],open_n25289,open_n25290}),
.wea(RAMDATA_WRITE[3]),
.dob({open_n25314,open_n25315,open_n25316,open_n25317,open_n25318,open_n25319,open_n25320,RAMDATA_RDATA[27:26]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0022"),
//.WID("0x0022"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_004 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n25329,open_n25330,open_n25331,HWDATA[29],open_n25332,open_n25333,HWDATA[28],open_n25334,open_n25335}),
.wea(RAMDATA_WRITE[3]),
.dob({open_n25359,open_n25360,open_n25361,open_n25362,open_n25363,open_n25364,open_n25365,RAMDATA_RDATA[29:28]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0023"),
//.WID("0x0023"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_006 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n25374,open_n25375,open_n25376,HWDATA[31],open_n25377,open_n25378,HWDATA[30],open_n25379,open_n25380}),
.wea(RAMDATA_WRITE[3]),
.dob({open_n25404,open_n25405,open_n25406,open_n25407,open_n25408,open_n25409,open_n25410,RAMDATA_RDATA[31:30]}));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_l (
.a({SPI_TX_Data[0],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[1],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[2],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[3],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25412,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_001 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_000 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_003 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_002 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_l (
.a({SPI_TX_Data[4],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[5],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[6],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[7],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25447,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_005 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_004 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_007 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_006 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_l (
.a({SPI_TX_Data[8],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[9],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[10],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[11],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25482,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_009 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_008 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_011 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_010 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_l (
.a({SPI_TX_Data[12],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[13],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[14],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[15],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25517,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_013 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_012 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_015 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_014 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_l (
.a({SPI_TX_Data[16],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[17],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[18],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[19],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25552,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_017 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_016 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_019 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_018 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_l (
.a({SPI_TX_Data[20],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[21],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[22],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25587,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_020 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_023 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_022 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_l (
.a({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [0]}),
.b({1'b0,\SPI_TX/FIFO_SPI/wp [1]}),
.c({1'b0,\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({1'b0,\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25622,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ),
.f({open_n25640,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_024 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_l (
.a({SPI_TX_Data[0],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[1],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[2],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[3],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25660,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_001 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_000 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_003 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_002 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_l (
.a({SPI_TX_Data[4],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[5],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[6],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[7],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25695,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_005 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_004 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_007 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_006 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_l (
.a({SPI_TX_Data[8],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[9],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[10],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[11],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25730,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_009 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_008 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_011 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_010 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_l (
.a({SPI_TX_Data[12],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[13],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[14],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[15],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25765,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_013 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_012 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_015 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_014 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_l (
.a({SPI_TX_Data[16],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[17],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[18],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[19],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25800,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_017 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_016 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_019 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_018 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_l (
.a({SPI_TX_Data[20],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[21],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[22],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25835,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_020 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_023 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_022 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_l (
.a({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [0]}),
.b({1'b0,\SPI_TX/FIFO_SPI/wp [1]}),
.c({1'b0,\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({1'b0,\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n25870,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ),
.f({open_n25888,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_024 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ));
// ../rtl/peripherals/FIFO_SPI.v(47)
// ../rtl/peripherals/FIFO_SPI.v(47)
EG_PHY_MSLICE #(
//.LUT0("(C@(B*D))"),
//.LUT1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011110011110000),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\SPI_TX/FIFO_SPI/reg0_b1|SPI_TX/FIFO_SPI/reg0_b2 (
.b({open_n25909,\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [2]}),
.ce(\SPI_TX/FIFOrd_en ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.sr(cpuresetn),
.q({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [2]})); // ../rtl/peripherals/FIFO_SPI.v(47)
// ../rtl/peripherals/FIFO_SPI.v(47)
// ../rtl/peripherals/FIFO_SPI.v(47)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B)*~(C)+~(D)*B*~(C)+~(D)*B*C)"),
//.LUTF1("(D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
//.LUTG0("(D*~(B)*~(C)+~(D)*B*~(C)+~(D)*B*C)"),
//.LUTG1("(D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001111001100),
.INIT_LUTF1(16'b0000110011110000),
.INIT_LUTG0(16'b0000001111001100),
.INIT_LUTG1(16'b0000110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\SPI_TX/FIFO_SPI/reg0_b4|SPI_TX/FIFO_SPI/reg0_b3 (
.b({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/rp [4]}),
.ce(\SPI_TX/FIFOrd_en ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ,\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv }),
.sr(cpuresetn),
.q(\SPI_TX/FIFO_SPI/rp [4:3])); // ../rtl/peripherals/FIFO_SPI.v(47)
// ../rtl/peripherals/FIFO_SPI.v(31)
// ../rtl/peripherals/FIFO_SPI.v(31)
EG_PHY_MSLICE #(
//.LUT0("(C@(B*D))"),
//.LUT1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011110011110000),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\SPI_TX/FIFO_SPI/reg1_b1|SPI_TX/FIFO_SPI/reg1_b2 (
.b({open_n25952,\SPI_TX/FIFO_SPI/wp [1]}),
.c({\SPI_TX/FIFO_SPI/wp [1],\SPI_TX/FIFO_SPI/wp [2]}),
.ce(\SPI_TX/FIFOwr_en ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/wp [0],\SPI_TX/FIFO_SPI/wp [0]}),
.sr(cpuresetn),
.q({\SPI_TX/FIFO_SPI/wp [1],\SPI_TX/FIFO_SPI/wp [2]})); // ../rtl/peripherals/FIFO_SPI.v(31)
// ../rtl/peripherals/FIFO_SPI.v(31)
// ../rtl/peripherals/FIFO_SPI.v(31)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B)*~(C)+~(D)*B*~(C)+~(D)*B*C)"),
//.LUTF1("(D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
//.LUTG0("(D*~(B)*~(C)+~(D)*B*~(C)+~(D)*B*C)"),
//.LUTG1("(D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001111001100),
.INIT_LUTF1(16'b0000110011110000),
.INIT_LUTG0(16'b0000001111001100),
.INIT_LUTG1(16'b0000110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\SPI_TX/FIFO_SPI/reg1_b4|SPI_TX/FIFO_SPI/reg1_b3 (
.b({\SPI_TX/FIFO_SPI/wp [3],\SPI_TX/FIFO_SPI/wp [3]}),
.c({\SPI_TX/FIFO_SPI/wp [4],\SPI_TX/FIFO_SPI/wp [4]}),
.ce(\SPI_TX/FIFOwr_en ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ,\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv }),
.sr(cpuresetn),
.q(\SPI_TX/FIFO_SPI/wp [4:3])); // ../rtl/peripherals/FIFO_SPI.v(31)
// ../rtl/peripherals/SPI_TX.v(72)
// ../rtl/peripherals/SPI_TX.v(72)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*(~D*~C)*~(0)+A*~(B)*(~D*~C)*~(0)+~(A)*~(B)*~((~D*~C))*0+A*~(B)*~((~D*~C))*0+~(A)*B*~((~D*~C))*0+A*B*~((~D*~C))*0+~(A)*~(B)*(~D*~C)*0+A*~(B)*(~D*~C)*0+~(A)*B*(~D*~C)*0)"),
//.LUTG0("(~(A)*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*(~D*~C)*~(1)+A*~(B)*(~D*~C)*~(1)+~(A)*~(B)*~((~D*~C))*1+A*~(B)*~((~D*~C))*1+~(A)*B*~((~D*~C))*1+A*B*~((~D*~C))*1+~(A)*~(B)*(~D*~C)*1+A*~(B)*(~D*~C)*1+~(A)*B*(~D*~C)*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010000000000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b1111010111110111),
.INIT_LUTG1(16'b1111111111110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/MSI_CS_reg|SPI_TX/MSI_clk_en_reg (
.a({_al_u650_o,_al_u650_o}),
.b({_al_u651_o,_al_u651_o}),
.c({_al_u655_o,_al_u655_o}),
.ce(\SPI_TX/count_en ),
.clk(clk_pad),
.d({_al_u657_o,_al_u657_o}),
.e({MSI_CS_pad,\SPI_TX/MSI_clk_en }),
.sr(cpuresetn),
.q({MSI_CS_pad,\SPI_TX/MSI_clk_en })); // ../rtl/peripherals/SPI_TX.v(72)
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u0|SPI_TX/add0/ucin (
.a({\SPI_TX/counter [0],1'b0}),
.b({1'b1,open_n26012}),
.f({\SPI_TX/n31 [0],open_n26032}),
.fco(\SPI_TX/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u10|SPI_TX/add0/u9 (
.a(\SPI_TX/counter [10:9]),
.b(2'b00),
.fci(\SPI_TX/add0/c9 ),
.f(\SPI_TX/n31 [10:9]),
.fco(\SPI_TX/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u12|SPI_TX/add0/u11 (
.a(\SPI_TX/counter [12:11]),
.b(2'b00),
.fci(\SPI_TX/add0/c11 ),
.f(\SPI_TX/n31 [12:11]),
.fco(\SPI_TX/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u13_al_u775 (
.a({open_n26081,\SPI_TX/counter [13]}),
.b({open_n26082,1'b0}),
.fci(\SPI_TX/add0/c13 ),
.f({open_n26101,\SPI_TX/n31 [13]}));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u2|SPI_TX/add0/u1 (
.a(\SPI_TX/counter [2:1]),
.b(2'b00),
.fci(\SPI_TX/add0/c1 ),
.f(\SPI_TX/n31 [2:1]),
.fco(\SPI_TX/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u4|SPI_TX/add0/u3 (
.a(\SPI_TX/counter [4:3]),
.b(2'b00),
.fci(\SPI_TX/add0/c3 ),
.f(\SPI_TX/n31 [4:3]),
.fco(\SPI_TX/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u6|SPI_TX/add0/u5 (
.a(\SPI_TX/counter [6:5]),
.b(2'b00),
.fci(\SPI_TX/add0/c5 ),
.f(\SPI_TX/n31 [6:5]),
.fco(\SPI_TX/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u8|SPI_TX/add0/u7 (
.a(\SPI_TX/counter [8:7]),
.b(2'b00),
.fci(\SPI_TX/add0/c7 ),
.f(\SPI_TX/n31 [8:7]),
.fco(\SPI_TX/add0/c9 ));
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(53)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0011000000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/count_en_reg|SPI_TX/reg0_b0 (
.a({open_n26195,\SPI_TX/trans_finish_lutinv }),
.b({\SPI_TX/trans_finish_lutinv ,\SPI_TX/n31 [0]}),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d({_al_u504_o,\SPI_TX/counter [0]}),
.sr(cpuresetn),
.q({\SPI_TX/count_en ,\SPI_TX/counter [0]})); // ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_MSLICE #(
//.LUT0("~(C*~B*~(0*~(~D*A)))"),
//.LUT1("~(C*~B*~(1*~(~D*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100111111001111),
.INIT_LUT1(16'b1111111111011111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/data_temp_reg (
.a({_al_u712_o,_al_u712_o}),
.b({\SPI_TX/sel1/B0 ,\SPI_TX/sel1/B0 }),
.c({_al_u718_o,_al_u718_o}),
.ce(\SPI_TX/count_en ),
.clk(clk_pad),
.d({_al_u729_o,_al_u729_o}),
.mi({open_n26224,_al_u645_o}),
.sr(cpuresetn),
.q({open_n26230,MSI_SDATA_pad})); // ../rtl/peripherals/SPI_TX.v(72)
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/reg0_b10|SPI_TX/reg0_b9 (
.a({\SPI_TX/trans_finish_lutinv ,\SPI_TX/trans_finish_lutinv }),
.b(\SPI_TX/n31 [10:9]),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d(\SPI_TX/counter [10:9]),
.sr(cpuresetn),
.q(\SPI_TX/counter [10:9])); // ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/reg0_b12|SPI_TX/reg0_b13 (
.a({\SPI_TX/trans_finish_lutinv ,\SPI_TX/trans_finish_lutinv }),
.b({\SPI_TX/n31 [12],\SPI_TX/n31 [13]}),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d({\SPI_TX/counter [12],\SPI_TX/counter [13]}),
.sr(cpuresetn),
.q({\SPI_TX/counter [12],\SPI_TX/counter [13]})); // ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/reg0_b2|SPI_TX/reg0_b1 (
.a({\SPI_TX/trans_finish_lutinv ,\SPI_TX/trans_finish_lutinv }),
.b(\SPI_TX/n31 [2:1]),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d(\SPI_TX/counter [2:1]),
.sr(cpuresetn),
.q(\SPI_TX/counter [2:1])); // ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/reg0_b3|SPI_TX/reg0_b4 (
.a({\SPI_TX/trans_finish_lutinv ,\SPI_TX/trans_finish_lutinv }),
.b({\SPI_TX/n31 [3],\SPI_TX/n31 [4]}),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d({\SPI_TX/counter [3],\SPI_TX/counter [4]}),
.sr(cpuresetn),
.q({\SPI_TX/counter [3],\SPI_TX/counter [4]})); // ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/reg0_b5|SPI_TX/reg0_b6 (
.a({\SPI_TX/trans_finish_lutinv ,\SPI_TX/trans_finish_lutinv }),
.b({\SPI_TX/n31 [5],\SPI_TX/n31 [6]}),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d({\SPI_TX/counter [5],\SPI_TX/counter [6]}),
.sr(cpuresetn),
.q({\SPI_TX/counter [5],\SPI_TX/counter [6]})); // ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/reg0_b7|SPI_TX/reg0_b8 (
.a({\SPI_TX/trans_finish_lutinv ,\SPI_TX/trans_finish_lutinv }),
.b({\SPI_TX/n31 [7],\SPI_TX/n31 [8]}),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d({\SPI_TX/counter [7],\SPI_TX/counter [8]}),
.sr(cpuresetn),
.q({\SPI_TX/counter [7],\SPI_TX/counter [8]})); // ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_MSLICE #(
//.LUT0("~(~(C*B)*~(A)*~(D)+~(C*B)*A*~(D)+~(~(C*B))*A*D+~(C*B)*A*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\UART_RX/counter_en_reg (
.a({open_n26347,interrupt_UART}),
.b({open_n26348,_al_u507_o}),
.c({open_n26349,_al_u508_o}),
.clk(clk_pad),
.d({open_n26351,bps_en_rx}),
.sr(cpuresetn),
.q({open_n26369,bps_en_rx})); // ../rtl/peripherals/UART_RX.v(26)
// ../rtl/peripherals/UART_RX.v(32)
// ../rtl/peripherals/UART_RX.v(32)
EG_PHY_LSLICE #(
//.LUTF0("(C@(D*B*A))"),
//.LUTF1("(B@(C*D))"),
//.LUTG0("(C@(D*B*A))"),
//.LUTG1("(B@(C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111100011110000),
.INIT_LUTF1(16'b0011110011001100),
.INIT_LUTG0(16'b0111100011110000),
.INIT_LUTG1(16'b0011110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\UART_RX/reg0_b1|UART_RX/reg0_b2 (
.a({open_n26370,\UART_RX/counter [0]}),
.b({\UART_RX/counter [1],\UART_RX/counter [1]}),
.c({clk_uart,\UART_RX/counter [2]}),
.ce(bps_en_rx),
.clk(clk_pad),
.d({\UART_RX/counter [0],clk_uart}),
.sr(cpuresetn),
.q({\UART_RX/counter [1],\UART_RX/counter [2]})); // ../rtl/peripherals/UART_RX.v(32)
// ../rtl/peripherals/UART_RX.v(13)
// ../rtl/peripherals/UART_RX.v(13)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~(~D*~A)))"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000001110000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\UART_RX/reg2_b3|UART_RX/reg2_b1 (
.a({open_n26392,HADDR[2]}),
.b({open_n26393,HADDR[7]}),
.c({HADDR[3],HADDR[6]}),
.clk(clk_pad),
.d(HADDR[4:3]),
.mi({\UART_RX/shift_reg [4],\UART_RX/shift_reg [2]}),
.sr(cpuresetn),
.f({\u_logic/Zl9iu6_lutinv ,\u_logic/_al_u3998_o }),
.q({\UART_RX/shift_reg [3],\UART_RX/shift_reg [1]})); // ../rtl/peripherals/UART_RX.v(13)
// ../rtl/peripherals/UART_RX.v(13)
// ../rtl/peripherals/UART_RX.v(13)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\UART_RX/reg2_b5|UART_RX/reg2_b4 (
.c({HADDR[11],HADDR[2]}),
.clk(clk_pad),
.d({HADDR[5],HADDR[10]}),
.mi(\UART_RX/shift_reg [6:5]),
.sr(cpuresetn),
.f({\u_logic/_al_u3999_o ,\u_logic/Gm9iu6_lutinv }),
.q(\UART_RX/shift_reg [5:4])); // ../rtl/peripherals/UART_RX.v(13)
// ../rtl/peripherals/UART_RX.v(13)
// ../rtl/peripherals/UART_RX.v(13)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\UART_RX/reg2_b6|UART_RX/reg2_b7 (
.a({\UART_RX/shift_reg [0],\UART_RX/shift_reg [4]}),
.b({\UART_RX/shift_reg [1],\UART_RX/shift_reg [5]}),
.c({\UART_RX/shift_reg [2],\UART_RX/shift_reg [6]}),
.clk(clk_pad),
.d({\UART_RX/shift_reg [3],\UART_RX/shift_reg [7]}),
.mi({\UART_RX/shift_reg [7],RXD_pad}),
.sr(cpuresetn),
.f({_al_u508_o,_al_u507_o}),
.q({\UART_RX/shift_reg [6],\UART_RX/shift_reg [7]})); // ../rtl/peripherals/UART_RX.v(13)
EG_PHY_LSLICE #(
//.MACRO("UART_TX/FIFO_UART/al_ram_mem_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\UART_TX/FIFO_UART/al_ram_mem_c0_l (
.a({UART_TX_data[0],\UART_TX/FIFO_UART/wp [0]}),
.b({UART_TX_data[1],\UART_TX/FIFO_UART/wp [1]}),
.c({UART_TX_data[2],\UART_TX/FIFO_UART/wp [2]}),
.clk(clk_pad),
.d({UART_TX_data[3],\UART_TX/FIFO_UART/wp [3]}),
.e({open_n26445,\UART_TX/FIFOwr_en }),
.dpram_di(\UART_TX/FIFO_UART/al_ram_mem_c0_di ),
.dpram_mode(\UART_TX/FIFO_UART/al_ram_mem_c0_mode ),
.dpram_waddr(\UART_TX/FIFO_UART/al_ram_mem_c0_waddr ),
.dpram_wclk(\UART_TX/FIFO_UART/al_ram_mem_c0_wclk ),
.dpram_we(\UART_TX/FIFO_UART/al_ram_mem_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("UART_TX/FIFO_UART/al_ram_mem_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\UART_TX/FIFO_UART/al_ram_mem_c0_m0 (
.a({\UART_TX/FIFO_UART/rp [0],\UART_TX/FIFO_UART/rp [0]}),
.b({\UART_TX/FIFO_UART/rp [1],\UART_TX/FIFO_UART/rp [1]}),
.c({\UART_TX/FIFO_UART/rp [2],\UART_TX/FIFO_UART/rp [2]}),
.d({\UART_TX/FIFO_UART/rp [3],\UART_TX/FIFO_UART/rp [3]}),
.dpram_di(\UART_TX/FIFO_UART/al_ram_mem_c0_di [1:0]),
.dpram_mode(\UART_TX/FIFO_UART/al_ram_mem_c0_mode ),
.dpram_waddr(\UART_TX/FIFO_UART/al_ram_mem_c0_waddr ),
.dpram_wclk(\UART_TX/FIFO_UART/al_ram_mem_c0_wclk ),
.dpram_we(\UART_TX/FIFO_UART/al_ram_mem_c0_we ),
.f(\UART_TX/FIFOdata [1:0]));
EG_PHY_MSLICE #(
//.MACRO("UART_TX/FIFO_UART/al_ram_mem_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\UART_TX/FIFO_UART/al_ram_mem_c0_m1 (
.a({\UART_TX/FIFO_UART/rp [0],\UART_TX/FIFO_UART/rp [0]}),
.b({\UART_TX/FIFO_UART/rp [1],\UART_TX/FIFO_UART/rp [1]}),
.c({\UART_TX/FIFO_UART/rp [2],\UART_TX/FIFO_UART/rp [2]}),
.d({\UART_TX/FIFO_UART/rp [3],\UART_TX/FIFO_UART/rp [3]}),
.dpram_di(\UART_TX/FIFO_UART/al_ram_mem_c0_di [3:2]),
.dpram_mode(\UART_TX/FIFO_UART/al_ram_mem_c0_mode ),
.dpram_waddr(\UART_TX/FIFO_UART/al_ram_mem_c0_waddr ),
.dpram_wclk(\UART_TX/FIFO_UART/al_ram_mem_c0_wclk ),
.dpram_we(\UART_TX/FIFO_UART/al_ram_mem_c0_we ),
.f(\UART_TX/FIFOdata [3:2]));
EG_PHY_LSLICE #(
//.MACRO("UART_TX/FIFO_UART/al_ram_mem_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\UART_TX/FIFO_UART/al_ram_mem_c1_l (
.a({UART_TX_data[4],\UART_TX/FIFO_UART/wp [0]}),
.b({UART_TX_data[5],\UART_TX/FIFO_UART/wp [1]}),
.c({UART_TX_data[6],\UART_TX/FIFO_UART/wp [2]}),
.clk(clk_pad),
.d({UART_TX_data[7],\UART_TX/FIFO_UART/wp [3]}),
.e({open_n26480,\UART_TX/FIFOwr_en }),
.dpram_di(\UART_TX/FIFO_UART/al_ram_mem_c1_di ),
.dpram_mode(\UART_TX/FIFO_UART/al_ram_mem_c1_mode ),
.dpram_waddr(\UART_TX/FIFO_UART/al_ram_mem_c1_waddr ),
.dpram_wclk(\UART_TX/FIFO_UART/al_ram_mem_c1_wclk ),
.dpram_we(\UART_TX/FIFO_UART/al_ram_mem_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("UART_TX/FIFO_UART/al_ram_mem_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\UART_TX/FIFO_UART/al_ram_mem_c1_m0 (
.a({\UART_TX/FIFO_UART/rp [0],\UART_TX/FIFO_UART/rp [0]}),
.b({\UART_TX/FIFO_UART/rp [1],\UART_TX/FIFO_UART/rp [1]}),
.c({\UART_TX/FIFO_UART/rp [2],\UART_TX/FIFO_UART/rp [2]}),
.d({\UART_TX/FIFO_UART/rp [3],\UART_TX/FIFO_UART/rp [3]}),
.dpram_di(\UART_TX/FIFO_UART/al_ram_mem_c1_di [1:0]),
.dpram_mode(\UART_TX/FIFO_UART/al_ram_mem_c1_mode ),
.dpram_waddr(\UART_TX/FIFO_UART/al_ram_mem_c1_waddr ),
.dpram_wclk(\UART_TX/FIFO_UART/al_ram_mem_c1_wclk ),
.dpram_we(\UART_TX/FIFO_UART/al_ram_mem_c1_we ),
.f(\UART_TX/FIFOdata [5:4]));
EG_PHY_MSLICE #(
//.MACRO("UART_TX/FIFO_UART/al_ram_mem_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\UART_TX/FIFO_UART/al_ram_mem_c1_m1 (
.a({\UART_TX/FIFO_UART/rp [0],\UART_TX/FIFO_UART/rp [0]}),
.b({\UART_TX/FIFO_UART/rp [1],\UART_TX/FIFO_UART/rp [1]}),
.c({\UART_TX/FIFO_UART/rp [2],\UART_TX/FIFO_UART/rp [2]}),
.d({\UART_TX/FIFO_UART/rp [3],\UART_TX/FIFO_UART/rp [3]}),
.dpram_di(\UART_TX/FIFO_UART/al_ram_mem_c1_di [3:2]),
.dpram_mode(\UART_TX/FIFO_UART/al_ram_mem_c1_mode ),
.dpram_waddr(\UART_TX/FIFO_UART/al_ram_mem_c1_waddr ),
.dpram_wclk(\UART_TX/FIFO_UART/al_ram_mem_c1_wclk ),
.dpram_we(\UART_TX/FIFO_UART/al_ram_mem_c1_we ),
.f(\UART_TX/FIFOdata [7:6]));
// ../rtl/peripherals/FIFO_UART.v(45)
// ../rtl/peripherals/FIFO_UART.v(45)
EG_PHY_MSLICE #(
//.LUT0("(D@(C*B*A))"),
//.LUT1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111111110000000),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\UART_TX/FIFO_UART/reg0_b1|UART_TX/FIFO_UART/reg0_b3 (
.a({open_n26514,\UART_TX/FIFO_UART/rp [0]}),
.b({open_n26515,\UART_TX/FIFO_UART/rp [1]}),
.c({\UART_TX/FIFO_UART/rp [1],\UART_TX/FIFO_UART/rp [2]}),
.ce(\UART_TX/FIFOrd_en ),
.clk(clk_pad),
.d({\UART_TX/FIFO_UART/rp [0],\UART_TX/FIFO_UART/rp [3]}),
.sr(cpuresetn),
.q({\UART_TX/FIFO_UART/rp [1],\UART_TX/FIFO_UART/rp [3]})); // ../rtl/peripherals/FIFO_UART.v(45)
// ../rtl/peripherals/FIFO_UART.v(29)
// ../rtl/peripherals/FIFO_UART.v(29)
EG_PHY_LSLICE #(
//.LUTF0("(C@D)"),
//.LUTF1("(~D)"),
//.LUTG0("(C@D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111110000),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0000111111110000),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\UART_TX/FIFO_UART/reg1_b0|UART_TX/FIFO_UART/reg1_b1 (
.c({open_n26537,\UART_TX/FIFO_UART/wp [1]}),
.ce(\UART_TX/FIFOwr_en ),
.clk(clk_pad),
.d({\UART_TX/FIFO_UART/wp [0],\UART_TX/FIFO_UART/wp [0]}),
.sr(cpuresetn),
.q({\UART_TX/FIFO_UART/wp [0],\UART_TX/FIFO_UART/wp [1]})); // ../rtl/peripherals/FIFO_UART.v(29)
// ../rtl/peripherals/FIFO_UART.v(29)
// ../rtl/peripherals/FIFO_UART.v(29)
EG_PHY_MSLICE #(
//.LUT0("(D@(C*B*A))"),
//.LUT1("(C@(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111111110000000),
.INIT_LUT1(16'b0011110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\UART_TX/FIFO_UART/reg1_b2|UART_TX/FIFO_UART/reg1_b3 (
.a({open_n26559,\UART_TX/FIFO_UART/wp [0]}),
.b({\UART_TX/FIFO_UART/wp [1],\UART_TX/FIFO_UART/wp [1]}),
.c({\UART_TX/FIFO_UART/wp [2],\UART_TX/FIFO_UART/wp [2]}),
.ce(\UART_TX/FIFOwr_en ),
.clk(clk_pad),
.d({\UART_TX/FIFO_UART/wp [0],\UART_TX/FIFO_UART/wp [3]}),
.sr(cpuresetn),
.q({\UART_TX/FIFO_UART/wp [2],\UART_TX/FIFO_UART/wp [3]})); // ../rtl/peripherals/FIFO_UART.v(29)
// ../rtl/peripherals/UART_TX.v(51)
// ../rtl/peripherals/UART_TX.v(51)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*C*~((D*B))+~(A)*~(C)*(D*B)+A*~(C)*(D*B))"),
//.LUT1("(~D*(C@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001110001010000),
.INIT_LUT1(16'b0000000000111100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\UART_TX/reg0_b0|UART_TX/reg0_b1 (
.a({open_n26577,\UART_TX/trans_finish_lutinv }),
.b({\UART_TX/counter [0],\UART_TX/counter [0]}),
.c({clk_uart,\UART_TX/counter [1]}),
.ce(bps_en_tx),
.clk(clk_pad),
.d({\UART_TX/trans_finish_lutinv ,clk_uart}),
.sr(cpuresetn),
.q({\UART_TX/counter [0],\UART_TX/counter [1]})); // ../rtl/peripherals/UART_TX.v(51)
// ../rtl/peripherals/UART_TX.v(51)
// ../rtl/peripherals/UART_TX.v(51)
EG_PHY_LSLICE #(
//.LUTF0("(~((B*A))*~(C)*D*~(0)+~((B*A))*C*D*~(0)+(B*A)*C*D*~(0)+(B*A)*C*~(D)*0+~((B*A))*~(C)*D*0+(B*A)*~(C)*D*0+~((B*A))*C*D*0)"),
//.LUTF1("(C@(D*B*A))"),
//.LUTG0("(~((B*A))*~(C)*D*~(1)+~((B*A))*C*D*~(1)+(B*A)*C*D*~(1)+(B*A)*C*~(D)*1+~((B*A))*~(C)*D*1+(B*A)*~(C)*D*1+~((B*A))*C*D*1)"),
//.LUTG1("(C@(D*B*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011100000000),
.INIT_LUTF1(16'b0111100011110000),
.INIT_LUTG0(16'b0111111110000000),
.INIT_LUTG1(16'b0111100011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\UART_TX/reg0_b2|UART_TX/reg0_b3 (
.a({\UART_TX/counter [0],\UART_TX/counter [0]}),
.b({\UART_TX/counter [1],\UART_TX/counter [1]}),
.c({\UART_TX/counter [2],\UART_TX/counter [2]}),
.ce(bps_en_tx),
.clk(clk_pad),
.d({clk_uart,\UART_TX/counter [3]}),
.e({open_n26595,clk_uart}),
.sr(cpuresetn),
.q({\UART_TX/counter [2],\UART_TX/counter [3]})); // ../rtl/peripherals/UART_TX.v(51)
EG_PHY_PAD #(
//.LOCATION("F16"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u1 (
.do({open_n26616,open_n26617,open_n26618,LED_pad[7]}),
.opad(LED[7])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_PAD #(
//.LOCATION("R15"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u10 (
.do({open_n26633,open_n26634,open_n26635,MSI_REFCLK_pad}),
.opad(MSI_REFCLK)); // ../rtl/topmodule/CortexM0_SoC.v(14)
EG_PHY_PAD #(
//.LOCATION("M9"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u11 (
.do({open_n26650,open_n26651,open_n26652,MSI_SCLK_pad}),
.opad(MSI_SCLK)); // ../rtl/topmodule/CortexM0_SoC.v(17)
EG_PHY_PAD #(
//.LOCATION("N9"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u12 (
.do({open_n26667,open_n26668,open_n26669,MSI_SDATA_pad}),
.opad(MSI_SDATA)); // ../rtl/topmodule/CortexM0_SoC.v(15)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("A14"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.TSMUX("1"))
_al_u13 (
.ipad(RSTn),
.di(RSTn_pad)); // ../rtl/topmodule/CortexM0_SoC.v(8)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("F12"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.TSMUX("1"))
_al_u14 (
.ipad(RXD),
.di(RXD_pad)); // ../rtl/topmodule/CortexM0_SoC.v(13)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("P2"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("BI"),
.TSMUX("INV"))
_al_u15 (
.do({open_n26718,open_n26719,open_n26720,SWDO}),
.ts(SWDOEN),
.di(SWDIO_pad),
.bpad(SWDIO)); // ../rtl/topmodule/CortexM0_SoC.v(49)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\_al_u157|FM_HW/_al_u66 (
.a({open_n26732,FMDATA_WRITE[3]}),
.b({open_n26733,FMDATA_WRITE[2]}),
.c({\FMDATA_Interface/wr_en_reg ,FMDATA_WRITE[1]}),
.d({\FMDATA_Interface/size_reg [2],FMDATA_WADDR[4]}),
.f({FMDATA_WRITE[2],\FM_HW/_al_u66_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\_al_u158|_al_u163 (
.c({\FMDATA_Interface/wr_en_reg ,\RAMCODE_Interface/wr_en_reg }),
.d({\FMDATA_Interface/size_reg [1],\RAMCODE_Interface/size_reg [0]}),
.f({FMDATA_WRITE[1],RAMCODE_WRITE[0]}));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u159|FM_HW/_al_u119 (
.b({open_n26780,\FM_HW/FM_RSSI_SCAN/counter [8]}),
.c({\FMDATA_Interface/wr_en_reg ,\FM_HW/FM_RSSI_SCAN/counter [9]}),
.d({\FMDATA_Interface/size_reg [0],\FM_HW/FM_RSSI_SCAN/counter [7]}),
.f({FMDATA_WRITE[0],\FM_HW/_al_u119_o }));
EG_PHY_PAD #(
//.LOCATION("D12"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u16 (
.do({open_n26806,open_n26807,open_n26808,TXD_pad}),
.opad(TXD)); // ../rtl/topmodule/CortexM0_SoC.v(12)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(B*~D))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~(B*~D))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000111100000011),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u161|u_logic/_al_u3330 (
.b({open_n26824,\u_logic/_al_u3291_o }),
.c({\RAMCODE_Interface/wr_en_reg ,\u_logic/_al_u3292_o }),
.d({\RAMCODE_Interface/size_reg [2],\u_logic/_al_u3304_o }),
.f({RAMCODE_WRITE[2],\u_logic/_al_u3330_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~B*D))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~(~B*D))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000110000001111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000110000001111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u162|u_logic/_al_u3353 (
.b({open_n26851,\u_logic/_al_u3291_o }),
.c({\RAMCODE_Interface/wr_en_reg ,\u_logic/Lbbax6 }),
.d({\RAMCODE_Interface/size_reg [1],\u_logic/_al_u3296_o }),
.f({RAMCODE_WRITE[1],\u_logic/Nvkbx6 [13]}));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
_al_u165 (
.c({open_n26880,\RAMDATA_Interface/wr_en_reg }),
.d({open_n26883,\RAMDATA_Interface/size_reg [2]}),
.f({open_n26897,RAMDATA_WRITE[2]}));
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*~(C*B*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~1*D*~(C*B*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0111111100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u166|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u865 (
.a({open_n26903,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u862_o }),
.b({open_n26904,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u863_o }),
.c({\RAMDATA_Interface/wr_en_reg ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u864_o }),
.d({\RAMDATA_Interface/size_reg [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u268_o }),
.e({open_n26907,\ethernet_i0/mac_test0/mac_send_end }),
.f({RAMDATA_WRITE[1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u865_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
_al_u167 (
.c({open_n26932,\RAMDATA_Interface/wr_en_reg }),
.d({open_n26935,\RAMDATA_Interface/size_reg [0]}),
.f({open_n26953,RAMDATA_WRITE[0]}));
EG_PHY_PAD #(
//.LOCATION("N8"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u17 (
.do({open_n26960,open_n26961,open_n26962,LED_pad[7]}),
.opad(audio_pwm)); // ../rtl/topmodule/CortexM0_SoC.v(18)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\_al_u173|_al_u172 (
.c({HWDATA[22],HWDATA[23]}),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.f({SPI_TX_Data[22],SPI_TX_Data[23]}));
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("R7"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.TSMUX("1"))
_al_u18 (
.ipad(clk),
.di(clk_pad)); // ../rtl/topmodule/CortexM0_SoC.v(7)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u180|_al_u182 (
.c({HWDATA[15],HWDATA[13]}),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.f({SPI_TX_Data[15],SPI_TX_Data[13]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u183|_al_u181 (
.c({HWDATA[12],HWDATA[14]}),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.f({SPI_TX_Data[12],SPI_TX_Data[14]}));
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u185|filter_unit/reg6_b11 (
.c({HWDATA[10],HWDATA[11]}),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.mi({open_n27087,key_in[11]}),
.sr(RSTn_pad),
.f({SPI_TX_Data[10],SPI_TX_Data[11]}),
.q({open_n27091,\filter_unit/key_reg0 [11]})); // ../rtl/peripherals/KeyScan.v(50)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*~(~B*~A)))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001111100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\_al_u188|_al_u352 (
.a({open_n27092,\UART_TX/counter [1]}),
.b({open_n27093,\UART_TX/counter [2]}),
.c({HWDATA[7],\UART_TX/counter [3]}),
.d({\UART_Interface/wr_en_reg ,clk_uart}),
.f({UART_TX_data[7],\UART_TX/n11 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\_al_u189|_al_u191 (
.c(HWDATA[7:6]),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.f(SPI_TX_Data[7:6]));
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("F10"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVTTL33"),
.MODE("IN"),
.TSMUX("1"))
_al_u19 (
.ipad(col[3]),
.di(col_pad[3])); // ../rtl/topmodule/CortexM0_SoC.v(34)
// ../rtl/peripherals/UART_TX.v(65)
EG_PHY_LSLICE #(
//.LUTF0("~(D*(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(D*(~C*~(A)*~(B)+~C*A*~(B)+~(~C)*A*B+~C*A*B))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111010011111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0111010011111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u192|UART_TX/TXD_reg (
.a({open_n27155,_al_u351_o}),
.b({open_n27156,\UART_TX/n11 }),
.c({HWDATA[5],TXD_pad}),
.clk(clk_pad),
.d({\UART_Interface/wr_en_reg ,bps_en_tx}),
.sr(cpuresetn),
.f({UART_TX_data[5],open_n27174}),
.q({open_n27178,TXD_pad})); // ../rtl/peripherals/UART_TX.v(65)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u193|_al_u195 (
.c(HWDATA[5:4]),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.f(SPI_TX_Data[5:4]));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\_al_u194|_al_u190 (
.c({HWDATA[4],HWDATA[6]}),
.d({\UART_Interface/wr_en_reg ,\UART_Interface/wr_en_reg }),
.f({UART_TX_data[4],UART_TX_data[6]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u196|_al_u202 (
.c({HWDATA[3],HWDATA[0]}),
.d({\UART_Interface/wr_en_reg ,\UART_Interface/wr_en_reg }),
.f({UART_TX_data[3],UART_TX_data[0]}));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\_al_u198|_al_u200 (
.c(HWDATA[2:1]),
.d({\UART_Interface/wr_en_reg ,\UART_Interface/wr_en_reg }),
.f(UART_TX_data[2:1]));
EG_PHY_PAD #(
//.LOCATION("E16"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u2 (
.do({open_n27284,open_n27285,open_n27286,LED_pad[7]}),
.opad(LED[6])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("C11"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVTTL33"),
.MODE("IN"),
.TSMUX("1"))
_al_u20 (
.ipad(col[2]),
.di(col_pad[2])); // ../rtl/topmodule/CortexM0_SoC.v(34)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("D11"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVTTL33"),
.MODE("IN"),
.TSMUX("1"))
_al_u21 (
.ipad(col[1]),
.di(col_pad[1])); // ../rtl/topmodule/CortexM0_SoC.v(34)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("E11"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVTTL33"),
.MODE("IN"),
.TSMUX("1"))
_al_u22 (
.ipad(col[0]),
.di(col_pad[0])); // ../rtl/topmodule/CortexM0_SoC.v(34)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(~C*~B*~D)"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"))
\_al_u226|_al_u423 (
.a({open_n27351,_al_u416_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [1],\UART_RX/n7 [1]}),
.c({\Interconncet/SlaveMUX/hsel_reg [2],\UART_RX/counter [2]}),
.d({\Interconncet/SlaveMUX/hsel_reg [0],\UART_RX/counter [3]}),
.f({_al_u226_o,\UART_RX/mux5_b1_sel_is_3_o }));
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~(D*A)))"),
//.LUT1("~(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000110000001100),
.INIT_LUT1(16'b0011111111111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u228|UART_RX/reg1_b3 (
.a({open_n27372,_al_u610_o}),
.b({_al_u227_o,_al_u614_o}),
.c({\Interconncet/SlaveMUX/hsel_reg [3],_al_u615_o}),
.ce(\UART_RX/mux5_b3_sel_is_3_o ),
.clk(clk_pad),
.d({_al_u226_o,UART_RX_data[6]}),
.mi({open_n27383,RXD_pad}),
.sr(cpuresetn),
.f({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,HRDATA[6]}),
.q({open_n27387,UART_RX_data[3]})); // ../rtl/peripherals/UART_RX.v(43)
EG_PHY_PAD #(
//.LOCATION("F4"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u23 (
.do({open_n27389,open_n27390,open_n27391,e_mdc_pad}),
.opad(e_mdc)); // ../rtl/topmodule/CortexM0_SoC.v(24)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\_al_u235|_al_u241 (
.c({\pulse_gen_unit/key_reg_2 [10],\pulse_gen_unit/key_reg_2 [2]}),
.d({\filter_unit/n9 [10],\filter_unit/n9 [2]}),
.f({key_interrupt[10],key_interrupt[2]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u236|_al_u175 (
.c({\pulse_gen_unit/key_reg_2 [11],HWDATA[20]}),
.d({\filter_unit/n9 [11],\SPI_Interface/wr_en_reg }),
.f({key_interrupt[11],SPI_TX_Data[20]}));
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("K6"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.TSMUX("1"))
_al_u24 (
.ipad(rgmii_rxc),
.di(rgmii_rxc_pad)); // ../rtl/topmodule/CortexM0_SoC.v(31)
EG_PHY_PAD #(
//.LOCATION("D9"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVTTL33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u25 (
.do({open_n27475,open_n27476,open_n27477,row_pad[3]}),
.opad(row[3])); // ../rtl/topmodule/CortexM0_SoC.v(35)
// ../rtl/demodulation/FM_Demodulation.v(279)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u250|FM_HW/FM_Demodulation/clk_IQ_to_ethernet_reg (
.c({\FM_Display/ChannelNO_or_FREQ ,open_n27495}),
.ce(\FM_HW/FM_Demodulation/n0 ),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/n0 ,clk_fm_ethernet}),
.f({\FM_Display/n89 ,open_n27509}),
.q({open_n27513,clk_fm_ethernet})); // ../rtl/demodulation/FM_Demodulation.v(279)
EG_PHY_PAD #(
//.LOCATION("F9"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVTTL33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u26 (
.do({open_n27515,open_n27516,open_n27517,row_pad[2]}),
.opad(row[2])); // ../rtl/topmodule/CortexM0_SoC.v(35)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u265|_al_u268 (
.a({open_n27531,row_pad[0]}),
.b({open_n27532,row_pad[1]}),
.c({row_pad[1],row_pad[2]}),
.d({row_pad[0],row_pad[3]}),
.f({_al_u265_o,_al_u268_o}));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\_al_u266|_al_u284 (
.a({open_n27557,_al_u265_o}),
.b({row_pad[2],col_pad[0]}),
.c(row_pad[3:2]),
.d({_al_u265_o,row_pad[3]}),
.f({_al_u266_o,_al_u284_o}));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*~A)"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0100000000000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\_al_u267|_al_u270 (
.a({row_pad[0],row_pad[0]}),
.b({row_pad[1],row_pad[1]}),
.c({row_pad[2],row_pad[2]}),
.d({row_pad[3],row_pad[3]}),
.f({_al_u267_o,_al_u270_o}));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~(C*~B))"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("~(~D*~(C*~B))"),
//.LUTG1("(~C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100110000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b1111111100110000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u269|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg12_b1 (
.b({_al_u267_o,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({_al_u268_o,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp0 [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({_al_u266_o,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.sr(RSTn_pad),
.f({_al_u269_o,open_n27617}),
.q({open_n27621,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp0 [1]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_PAD #(
//.LOCATION("C10"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVTTL33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u27 (
.do({open_n27623,open_n27624,open_n27625,row_pad[1]}),
.opad(row[1])); // ../rtl/topmodule/CortexM0_SoC.v(35)
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~D)"),
//.LUT1("(~C*~B*~D)"),
.INIT_LUT0(16'b0000000000000011),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"))
\_al_u273|_al_u283 (
.b({_al_u267_o,_al_u268_o}),
.c({_al_u270_o,_al_u270_o}),
.d({_al_u266_o,_al_u267_o}),
.f({_al_u273_o,_al_u283_o}));
EG_PHY_PAD #(
//.LOCATION("E10"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVTTL33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u28 (
.do({open_n27662,open_n27663,open_n27664,row_pad[0]}),
.opad(row[0])); // ../rtl/topmodule/CortexM0_SoC.v(35)
EG_PHY_PAD #(
//.LOCATION("C8"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u29 (
.do({open_n27679,open_n27680,open_n27681,seg_pad[7]}),
.opad(seg[7])); // ../rtl/topmodule/CortexM0_SoC.v(20)
// ../rtl/peripherals/UART_RX.v(32)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C)*~((~D*B))+~(A)*C*~((~D*B))+A*~(C)*(~D*B))"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("(A*~(C)*~((~D*B))+~(A)*C*~((~D*B))+A*~(C)*(~D*B))"),
//.LUTG1("(D*~C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101101000011010),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0101101000011010),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u291|UART_RX/reg0_b3 (
.a({\UART_RX/counter [0],_al_u344_o}),
.b({\UART_RX/counter [1],interrupt_UART}),
.c({\UART_RX/counter [2],\UART_RX/counter [3]}),
.ce(bps_en_rx),
.clk(clk_pad),
.d({\UART_RX/counter [3],clk_uart}),
.sr(cpuresetn),
.f({interrupt_UART,open_n27711}),
.q({open_n27715,\UART_RX/counter [3]})); // ../rtl/peripherals/UART_RX.v(32)
// ../rtl/demodulation/FM_RSSI.v(102)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*C*~B*~A)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~1*~D*C*~B*~A)"),
//.LUTG1("(~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000010000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u297|FM_HW/FM_RSSI_SCAN/reg3_b16 (
.a({_al_u296_o,FMDATA_WADDR[5]}),
.b({FMDATA_WRITE[3],FMDATA_WADDR[3]}),
.c({FMDATA_WADDR[0],FMDATA_WADDR[2]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({FMDATA_WADDR[1],FMDATA_WADDR[1]}),
.e({open_n27716,FMDATA_WADDR[0]}),
.mi({open_n27718,\FM_HW/FM_RSSI_SCAN/RSSI_SUM [26]}),
.f({_al_u297_o,\FM_HW/_al_u65_o }),
.q({open_n27734,\FM_HW/rd_SCAN [16]})); // ../rtl/demodulation/FM_RSSI.v(102)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUT1("(~D*~C*~B*~A)"),
.INIT_LUT0(16'b0000000001010011),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"))
\_al_u299|_al_u550 (
.a({\FM_Display/thousand_digit [0],\FM_Display/n93 [6]}),
.b({\FM_Display/thousand_digit [1],\FM_Display/n91 [6]}),
.c({\FM_Display/thousand_digit [2],\FM_Display/ctrl_freq [0]}),
.d({\FM_Display/thousand_digit [3],\FM_Display/ctrl_freq [1]}),
.f({_al_u299_o,_al_u550_o}));
EG_PHY_PAD #(
//.LOCATION("E13"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u3 (
.do({open_n27756,open_n27757,open_n27758,LED_pad[7]}),
.opad(LED[5])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_PAD #(
//.LOCATION("A8"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u30 (
.do({open_n27773,open_n27774,open_n27775,seg_pad[6]}),
.opad(seg[6])); // ../rtl/topmodule/CortexM0_SoC.v(20)
// ../rtl/demodulation/FM_RSSI.v(87)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~D)"),
//.LUTF1("(~C*~(~B*~D))"),
//.LUTG0("(~C*B*~D)"),
//.LUTG1("(~C*~(~B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001100),
.INIT_LUTF1(16'b0000111100001100),
.INIT_LUTG0(16'b0000000000001100),
.INIT_LUTG1(16'b0000111100001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u302|FM_HW/FM_RSSI_SCAN/RSSI_reg_2_reg (
.b({_al_u227_o,_al_u302_o}),
.c({\Interconncet/SlaveMUX/hsel_reg [3],_al_u684_o}),
.clk(clk_pad),
.d({_al_u226_o,_al_u683_o}),
.mi({open_n27795,\FM_HW/FM_RSSI_SCAN/RSSI_reg_1 }),
.sr(RSTn_pad),
.f({_al_u302_o,HRDATA[0]}),
.q({open_n27810,\FM_HW/FM_RSSI_SCAN/RSSI_reg_2 })); // ../rtl/demodulation/FM_RSSI.v(87)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
.INIT_LUT0(16'b1000110010101110),
.INIT_LUT1(16'b1000110010101110),
.MODE("LOGIC"))
\_al_u303|_al_u306 (
.a({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.b({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.c(RAMCODE_RDATA[9:8]),
.d(RAMDATA_RDATA[9:8]),
.f({_al_u303_o,_al_u306_o}));
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u304|UART_RX/reg1_b7 (
.b({\Interconncet/SlaveMUX/hsel_reg [1],open_n27833}),
.c({\Interconncet/SlaveMUX/hsel_reg [2],_al_u543_o}),
.ce(\UART_RX/mux5_b7_sel_is_3_o ),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/hsel_reg [0],HSEL_P5}),
.mi({open_n27837,RXD_pad}),
.sr(cpuresetn),
.f({_al_u304_o,\FMDATA_Interface/n15 }),
.q({open_n27852,UART_RX_data[7]})); // ../rtl/peripherals/UART_RX.v(43)
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*~(0*C)))"),
//.LUT1("(~B*A*~(D*~(1*C)))"),
.INIT_LUT0(16'b0000000000100010),
.INIT_LUT1(16'b0010000000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u305 (
.a({_al_u302_o,_al_u302_o}),
.b({_al_u303_o,_al_u303_o}),
.c({_al_u304_o,_al_u304_o}),
.d({_al_u227_o,_al_u227_o}),
.mi({open_n27865,FMDATA_RDATA[9]}),
.fx({open_n27870,HRDATA[9]}));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*~(0*C)))"),
//.LUT1("(~B*A*~(D*~(1*C)))"),
.INIT_LUT0(16'b0000000000100010),
.INIT_LUT1(16'b0010000000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u307 (
.a({_al_u302_o,_al_u302_o}),
.b({_al_u306_o,_al_u306_o}),
.c({_al_u304_o,_al_u304_o}),
.d({_al_u227_o,_al_u227_o}),
.mi({open_n27885,FMDATA_RDATA[8]}),
.fx({open_n27890,HRDATA[8]}));
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1000110010101110),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u308|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b11 (
.a({\Interconncet/SlaveMUX/hsel_reg [4],open_n27893}),
.b({\Interconncet/SlaveMUX/hsel_reg [5],open_n27894}),
.c({RAMCODE_RDATA[11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({RAMDATA_RDATA[11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o }),
.sr(RSTn_pad),
.f({_al_u308_o,open_n27908}),
.q({open_n27912,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [11]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(D*~(0*C)))"),
//.LUTF1("(~B*A*~(D*~(0*C)))"),
//.LUTG0("(~B*A*~(D*~(1*C)))"),
//.LUTG1("(~B*A*~(D*~(1*C)))"),
.INIT_LUTF0(16'b0000000000100010),
.INIT_LUTF1(16'b0000000000100010),
.INIT_LUTG0(16'b0010000000100010),
.INIT_LUTG1(16'b0010000000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u309|_al_u311 (
.a({_al_u302_o,_al_u302_o}),
.b({_al_u308_o,_al_u310_o}),
.c({_al_u304_o,_al_u304_o}),
.d({_al_u227_o,_al_u227_o}),
.e(FMDATA_RDATA[11:10]),
.f(HRDATA[11:10]));
EG_PHY_PAD #(
//.LOCATION("B5"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u31 (
.do({open_n27936,open_n27937,open_n27938,seg_pad[5]}),
.opad(seg[5])); // ../rtl/topmodule/CortexM0_SoC.v(20)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000110010101110),
.INIT_LUTF1(16'b1000110010101110),
.INIT_LUTG0(16'b1000110010101110),
.INIT_LUTG1(16'b1000110010101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u312|_al_u314 (
.a({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.b({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.c(RAMCODE_RDATA[13:12]),
.d(RAMDATA_RDATA[13:12]),
.f({_al_u312_o,_al_u314_o}));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000110010101110),
.INIT_LUT1(16'b1000110010101110),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u316|RAMDATA_Interface/reg0_b0 (
.a({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.b({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.c(RAMCODE_RDATA[15:14]),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d(RAMDATA_RDATA[15:14]),
.mi({open_n27986,HADDR[2]}),
.sr(cpuresetn),
.f({_al_u316_o,_al_u318_o}),
.q({open_n27990,RAMDATA_WADDR[0]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*~(0*C)))"),
//.LUT1("(~B*A*~(D*~(1*C)))"),
.INIT_LUT0(16'b0000000000100010),
.INIT_LUT1(16'b0010000000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u319 (
.a({_al_u302_o,_al_u302_o}),
.b({_al_u318_o,_al_u318_o}),
.c({_al_u304_o,_al_u304_o}),
.d({_al_u227_o,_al_u227_o}),
.mi({open_n28003,FMDATA_RDATA[14]}),
.fx({open_n28008,HRDATA[14]}));
EG_PHY_PAD #(
//.LOCATION("A7"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u32 (
.do({open_n28012,open_n28013,open_n28014,seg_pad[4]}),
.opad(seg[4])); // ../rtl/topmodule/CortexM0_SoC.v(20)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(66)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u320|RAMDATA_Interface/wr_en_reg_reg (
.c({\Interconncet/SlaveMUX/hsel_reg [3],_al_u604_o}),
.clk(clk_pad),
.d({_al_u226_o,HSEL_P1}),
.sr(cpuresetn),
.f({_al_u320_o,\RAMDATA_Interface/n10 }),
.q({open_n28048,\RAMDATA_Interface/wr_en_reg })); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(66)
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0010100000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u321 (
.a({_al_u320_o,_al_u320_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMCODE_RDATA[17],RAMCODE_RDATA[17]}),
.mi({open_n28061,RAMDATA_RDATA[17]}),
.fx({open_n28066,HRDATA[17]}));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000110010101110),
.MODE("LOGIC"))
\_al_u322|u_logic/_al_u2502 (
.a({\Interconncet/SlaveMUX/hsel_reg [4],\u_logic/_al_u2474_o }),
.b({\Interconncet/SlaveMUX/hsel_reg [5],\u_logic/_al_u2473_o }),
.c({RAMCODE_RDATA[16],\u_logic/I74iu6 }),
.d({RAMDATA_RDATA[16],\u_logic/M2ebx6 }),
.f({_al_u322_o,\u_logic/Bwliu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*~(0*C)))"),
//.LUT1("(~B*A*~(D*~(1*C)))"),
.INIT_LUT0(16'b0000000000100010),
.INIT_LUT1(16'b0010000000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u323 (
.a({_al_u302_o,_al_u302_o}),
.b({_al_u322_o,_al_u322_o}),
.c({_al_u304_o,_al_u304_o}),
.d({_al_u227_o,_al_u227_o}),
.mi({open_n28101,FMDATA_RDATA[16]}),
.fx({open_n28106,HRDATA[16]}));
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0010100000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u324 (
.a({_al_u320_o,_al_u320_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMCODE_RDATA[19],RAMCODE_RDATA[19]}),
.mi({open_n28121,RAMDATA_RDATA[19]}),
.fx({open_n28126,HRDATA[19]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTG0("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0010100000001000),
.INIT_LUTG1(16'b0010100000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u326|_al_u327 (
.a({_al_u320_o,_al_u320_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d(RAMCODE_RDATA[21:20]),
.e(RAMDATA_RDATA[21:20]),
.f(HRDATA[21:20]));
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0010100000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u328 (
.a({_al_u320_o,_al_u320_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMCODE_RDATA[23],RAMCODE_RDATA[23]}),
.mi({open_n28163,RAMDATA_RDATA[23]}),
.fx({open_n28168,HRDATA[23]}));
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0010100000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u329 (
.a({_al_u320_o,_al_u320_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMCODE_RDATA[22],RAMCODE_RDATA[22]}),
.mi({open_n28183,RAMDATA_RDATA[22]}),
.fx({open_n28188,HRDATA[22]}));
EG_PHY_PAD #(
//.LOCATION("E8"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u33 (
.do({open_n28192,open_n28193,open_n28194,seg_pad[3]}),
.opad(seg[3])); // ../rtl/topmodule/CortexM0_SoC.v(20)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTG0("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0010100000001000),
.INIT_LUTG1(16'b0010100000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u330|_al_u331 (
.a({_al_u320_o,_al_u320_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d(RAMCODE_RDATA[25:24]),
.e(RAMDATA_RDATA[25:24]),
.f(HRDATA[25:24]));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTG0("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0010100000001000),
.INIT_LUTG1(16'b0010100000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u332|_al_u333 (
.a({_al_u320_o,_al_u320_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d(RAMCODE_RDATA[27:26]),
.e(RAMDATA_RDATA[27:26]),
.f(HRDATA[27:26]));
// ../rtl/topmodule/cortexm0ds_logic.v(18404)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0010100000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u334|u_logic/Bcgax6_reg (
.a({_al_u320_o,\u_logic/_al_u2994_o }),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\u_logic/Kw1iu6_lutinv }),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\u_logic/Xs1iu6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({RAMCODE_RDATA[29],\u_logic/Eagax6 }),
.e({RAMDATA_RDATA[29],\u_logic/Q2gax6 }),
.mi({open_n28253,\u_logic/Lm1iu6 }),
.f({HRDATA[29],\u_logic/_al_u2995_o }),
.q({open_n28269,\u_logic/Bcgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18404)
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0010100000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u335 (
.a({_al_u320_o,_al_u320_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMCODE_RDATA[28],RAMCODE_RDATA[28]}),
.mi({open_n28282,RAMDATA_RDATA[28]}),
.fx({open_n28287,HRDATA[28]}));
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0010100000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u336 (
.a({_al_u320_o,_al_u320_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMCODE_RDATA[31],RAMCODE_RDATA[31]}),
.mi({open_n28302,RAMDATA_RDATA[31]}),
.fx({open_n28307,HRDATA[31]}));
// ../rtl/peripherals/FIFO_SPI.v(47)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u338|SPI_TX/FIFO_SPI/reg0_b0 (
.b({\SPI_TX/FIFO_SPI/rp [1],open_n28312}),
.c({\SPI_TX/FIFO_SPI/rp [2],open_n28313}),
.ce(\SPI_TX/FIFOrd_en ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.sr(cpuresetn),
.f({\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ,open_n28326}),
.q({open_n28330,\SPI_TX/FIFO_SPI/rp [0]})); // ../rtl/peripherals/FIFO_SPI.v(47)
EG_PHY_PAD #(
//.LOCATION("B8"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u34 (
.do({open_n28332,open_n28333,open_n28334,seg_pad[2]}),
.opad(seg[2])); // ../rtl/topmodule/CortexM0_SoC.v(20)
// ../rtl/AHBsubordinate/AHBlite_UART.v(38)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u344|UART_Interface/rd_en_reg_reg (
.a({\UART_RX/counter [0],_al_u537_o}),
.b({\UART_RX/counter [1],_al_u539_o}),
.c({\UART_RX/counter [2],_al_u543_o}),
.clk(clk_pad),
.d({clk_uart,HWRITE}),
.sr(cpuresetn),
.f({_al_u344_o,open_n28361}),
.q({open_n28365,\UART_Interface/rd_en_reg })); // ../rtl/AHBsubordinate/AHBlite_UART.v(38)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.MODE("LOGIC"))
_al_u348 (
.a({open_n28366,\UART_TX/FIFOdata [3]}),
.b({open_n28367,\UART_TX/FIFOdata [5]}),
.c({open_n28368,_al_u347_o}),
.d({open_n28371,\UART_TX/counter [0]}),
.f({open_n28385,\UART_TX/mux3/B1_1 }));
EG_PHY_PAD #(
//.LOCATION("A6"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u35 (
.do({open_n28392,open_n28393,open_n28394,seg_pad[1]}),
.opad(seg[1])); // ../rtl/topmodule/CortexM0_SoC.v(20)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1010110011110000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1010110011110000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u350|_al_u347 (
.a({open_n28408,\UART_TX/FIFOdata [6]}),
.b({open_n28409,\UART_TX/FIFOdata [4]}),
.c({\UART_TX/counter [0],\UART_TX/counter [1]}),
.d({\UART_TX/FIFOdata [7],\UART_TX/counter [0]}),
.f({_al_u350_o,_al_u347_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b0101010111001100),
.INIT_LUTG0(16'b0101010100110011),
.INIT_LUTG1(16'b1111111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u351|_al_u349 (
.a({\UART_TX/mux3/B1_1 ,\UART_TX/FIFOdata [2]}),
.b({_al_u349_o,\UART_TX/FIFOdata [1]}),
.c({_al_u350_o,\UART_TX/FIFOdata [0]}),
.d({\UART_TX/counter [2],\UART_TX/counter [0]}),
.e({\UART_TX/counter [3],\UART_TX/counter [1]}),
.f({_al_u351_o,_al_u349_o}));
// ../rtl/peripherals/FIFO_UART.v(45)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b0000100000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u355|UART_TX/FIFO_UART/r_flag_reg (
.a({\UART_TX/counter [0],open_n28456}),
.b({\UART_TX/counter [1],open_n28457}),
.c({\UART_TX/counter [2],open_n28458}),
.ce(\UART_TX/FIFO_UART/u13_sel_is_3_o ),
.clk(clk_pad),
.d({\UART_TX/counter [3],\UART_TX/FIFO_UART/r_flag }),
.sr(cpuresetn),
.f({\UART_TX/trans_finish_lutinv ,open_n28471}),
.q({open_n28475,\UART_TX/FIFO_UART/r_flag })); // ../rtl/peripherals/FIFO_UART.v(45)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u359|_al_u360 (
.a({_al_u358_o,\clkuart_pwm/cnt [10]}),
.b({\clkuart_pwm/cnt [7],\clkuart_pwm/cnt [11]}),
.c({\clkuart_pwm/cnt [8],\clkuart_pwm/cnt [12]}),
.d({\clkuart_pwm/cnt [9],\clkuart_pwm/cnt [2]}),
.f({_al_u359_o,_al_u360_o}));
EG_PHY_PAD #(
//.LOCATION("A4"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u36 (
.do({open_n28501,open_n28502,open_n28503,seg_pad[0]}),
.opad(seg[0])); // ../rtl/topmodule/CortexM0_SoC.v(20)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u362|u_logic/_al_u2778 (
.a({HADDR[24],open_n28517}),
.b({HADDR[23],\u_logic/_al_u2497_o }),
.c({HADDR[22],\u_logic/Nwdbx6 }),
.d({HADDR[21],\u_logic/n5754 }),
.f({_al_u362_o,HADDR[20]}));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
//.LUT1("(~D*~C*~B*A)"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"))
\_al_u363|_al_u535 (
.a({_al_u362_o,HADDR[15]}),
.b({HADDR[20],HADDR[14]}),
.c({HADDR[19],HADDR[13]}),
.d({HADDR[18],HADDR[12]}),
.f({_al_u363_o,_al_u535_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u364|u_logic/_al_u2784 (
.b({HADDR[28],\u_logic/_al_u2541_o }),
.c({HADDR[17],\u_logic/Yvabx6 }),
.d({_al_u363_o,\u_logic/n5754 }),
.f({_al_u364_o,HADDR[14]}));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUT1("(~C*~B*~D)"),
.INIT_LUT0(16'b1111000000110011),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"))
\_al_u365|u_logic/_al_u2783 (
.b({HADDR[26],\u_logic/_al_u2545_o }),
.c({HADDR[25],\u_logic/Ad7ax6 }),
.d({HADDR[27],\u_logic/n5754 }),
.f({_al_u365_o,HADDR[15]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u366|_al_u538 (
.b({open_n28612,_al_u366_o}),
.c({HADDR[29],_al_u446_o}),
.d({_al_u365_o,_al_u363_o}),
.f({_al_u366_o,_al_u538_o}));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUT1("(~C*~B*~D)"),
.INIT_LUT0(16'b1111000000110011),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"))
\_al_u367|u_logic/_al_u2779 (
.b({HADDR[30],\u_logic/_al_u2493_o }),
.c({HADDR[16],\u_logic/Ym3qw6 }),
.d({HADDR[31],\u_logic/n5754 }),
.f({_al_u367_o,HADDR[19]}));
EG_PHY_MSLICE #(
//.LUT0("(~D*(A*~(C)*~(B)+A*C*~(B)+~(A)*C*B+A*C*B))"),
//.LUT1("(C*~(~B*~D))"),
.INIT_LUT0(16'b0000000011100010),
.INIT_LUT1(16'b1111000011000000),
.MODE("LOGIC"))
\_al_u369|_al_u678 (
.a({open_n28659,\FM_Display/channel_NO [0]}),
.b(\FM_Display/channel_NO [2:1]),
.c(\FM_Display/channel_NO [3:2]),
.d({\FM_Display/channel_NO [1],\FM_Display/channel_NO [3]}),
.f({\FM_Display/lt2/o_3_lutinv ,_al_u678_o}));
EG_PHY_PAD #(
//.LOCATION("A3"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u37 (
.do({open_n28681,open_n28682,open_n28683,sel_pad[3]}),
.opad(sel[3])); // ../rtl/topmodule/CortexM0_SoC.v(19)
// ../rtl/peripherals/FM_Display.v(112)
EG_PHY_MSLICE #(
//.LUT0("(D*~C+~D*C)"),
//.LUT1("(C*A*~(~D*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111110000),
.INIT_LUT1(16'b1010000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u370|FM_Display/reg8_b1 (
.a({\FM_Display/n29 ,open_n28697}),
.b({\FM_Display/lt2/o_3_lutinv ,open_n28698}),
.c({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_freq [1]}),
.ce(\FM_Display/n89 ),
.clk(\FM_Display/clk_1KHz ),
.d({\FM_Display/channel_NO [4],\FM_Display/ctrl_freq [0]}),
.f({_al_u370_o,open_n28712}),
.q({open_n28716,\FM_Display/ctrl_freq [1]})); // ../rtl/peripherals/FM_Display.v(112)
// ../rtl/peripherals/FM_Display.v(112)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*~(~B*A)))"),
//.LUTF1("(A*~(D*C*B))"),
//.LUTG0("~(C*~(D*~(~B*A)))"),
//.LUTG1("(A*~(D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101111100001111),
.INIT_LUTF1(16'b0010101010101010),
.INIT_LUTG0(16'b1101111100001111),
.INIT_LUTG1(16'b0010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\_al_u371|FM_Display/reg6_b1 (
.a({\FM_HW/FM_Demodulation/n0 ,_al_u299_o}),
.b({\FM_Display/ChannelNO_or_FREQ ,sel_pad[1]}),
.c({\FM_Display/ctrl_freq [0],\FM_Display/ctrl_freq [0]}),
.ce(\FM_Display/n89 ),
.clk(\FM_Display/clk_1KHz ),
.d({\FM_Display/ctrl_freq [1],\FM_Display/ctrl_freq [1]}),
.sr(\FM_Display/n29 ),
.f({_al_u371_o,open_n28733}),
.q({open_n28737,sel_pad[1]})); // ../rtl/peripherals/FM_Display.v(112)
// ../rtl/peripherals/FM_Display.v(76)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(D*C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0001000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u373|FM_Display/reg5_b15 (
.a({\FM_Display/counter_1Khz [12],_al_u377_o}),
.b({\FM_Display/counter_1Khz [13],\FM_Display/n20 [15]}),
.c({\FM_Display/counter_1Khz [14],\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [15],\FM_Display/counter_1Khz [15]}),
.sr(RSTn_pad),
.f({_al_u373_o,open_n28751}),
.q({open_n28755,\FM_Display/counter_1Khz [15]})); // ../rtl/peripherals/FM_Display.v(76)
// ../rtl/peripherals/FM_Display.v(76)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u374|FM_Display/reg5_b0 (
.a({\FM_Display/counter_1Khz [0],_al_u377_o}),
.b({\FM_Display/counter_1Khz [1],\FM_Display/n20 [0]}),
.c({\FM_Display/counter_1Khz [10],\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [11],\FM_Display/counter_1Khz [0]}),
.sr(RSTn_pad),
.f({_al_u374_o,open_n28769}),
.q({open_n28773,\FM_Display/counter_1Khz [0]})); // ../rtl/peripherals/FM_Display.v(76)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTG0("(D*C*~B*A)"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTG0(16'b0010000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
_al_u375 (
.a({open_n28774,\FM_Display/counter_1Khz [6]}),
.b({open_n28775,\FM_Display/counter_1Khz [7]}),
.c({open_n28776,\FM_Display/counter_1Khz [8]}),
.d({open_n28779,\FM_Display/counter_1Khz [9]}),
.f({open_n28797,_al_u375_o}));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~D*C*~B*~A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000010000),
.MODE("LOGIC"))
\_al_u376|_al_u377 (
.a({\FM_Display/counter_1Khz [2],_al_u373_o}),
.b({\FM_Display/counter_1Khz [3],_al_u374_o}),
.c({\FM_Display/counter_1Khz [4],_al_u375_o}),
.d({\FM_Display/counter_1Khz [5],_al_u376_o}),
.f({_al_u376_o,_al_u377_o}));
EG_PHY_PAD #(
//.LOCATION("A5"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u38 (
.do({open_n28824,open_n28825,open_n28826,sel_pad[2]}),
.opad(sel[2])); // ../rtl/topmodule/CortexM0_SoC.v(19)
EG_PHY_PAD #(
//.LOCATION("B6"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u39 (
.do({open_n28841,open_n28842,open_n28843,sel_pad[1]}),
.opad(sel[1])); // ../rtl/topmodule/CortexM0_SoC.v(19)
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(1*D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u396|SPI_TX/reg0_b11 (
.a({_al_u395_o,\SPI_TX/trans_finish_lutinv }),
.b({\SPI_TX/counter [11],\SPI_TX/n31 [11]}),
.c({\SPI_TX/counter [12],\SPI_TX/count_en }),
.clk(clk_pad),
.d({\SPI_TX/counter [13],\SPI_TX/counter [11]}),
.e({\SPI_TX/counter [5],open_n28858}),
.sr(cpuresetn),
.f({_al_u396_o,open_n28873}),
.q({open_n28877,\SPI_TX/counter [11]})); // ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_MSLICE #(
//.LUT0("(0*D*~C*B*A)"),
//.LUT1("(1*D*~C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0000100000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u398 (
.a({_al_u396_o,_al_u396_o}),
.b({_al_u397_o,_al_u397_o}),
.c({\SPI_TX/counter [6],\SPI_TX/counter [6]}),
.d({\SPI_TX/counter [7],\SPI_TX/counter [7]}),
.mi({open_n28890,\SPI_TX/counter [9]}),
.fx({open_n28895,\SPI_TX/trans_finish_lutinv }));
EG_PHY_PAD #(
//.LOCATION("C16"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u4 (
.do({open_n28899,open_n28900,open_n28901,LED_pad[7]}),
.opad(LED[4])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_PAD #(
//.LOCATION("C9"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u40 (
.do({open_n28916,open_n28917,open_n28918,sel_pad[0]}),
.opad(sel[0])); // ../rtl/topmodule/CortexM0_SoC.v(19)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(D*~C*B*A)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b0000100000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u413|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b23 (
.a({bps_en_rx,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\UART_RX/counter [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\UART_RX/counter [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [23]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({clk_uart,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({open_n28932,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [23]}),
.sr(RSTn_pad),
.f({_al_u413_o,open_n28947}),
.q({open_n28951,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [23]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(C@D)"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"))
\_al_u414|_al_u418 (
.b({open_n28954,bps_en_rx}),
.c({\UART_RX/counter [1],\UART_RX/counter [3]}),
.d({\UART_RX/counter [0],_al_u344_o}),
.f({\UART_RX/n7 [1],\UART_RX/mux5_b6_sel_is_3_o }));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u416|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b31 (
.b({\UART_RX/counter [0],open_n28977}),
.c({clk_uart,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [31]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({bps_en_rx,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.f({_al_u416_o,open_n28991}),
.q({open_n28995,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [31]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u417|UART_RX/reg1_b0 (
.a({_al_u416_o,open_n28996}),
.b({\UART_RX/n7 [1],\UART_RX/n7 [1]}),
.c({\UART_RX/counter [2],\UART_RX/counter [2]}),
.ce(\UART_RX/mux5_b0_sel_is_3_o ),
.clk(clk_pad),
.d({\UART_RX/counter [3],_al_u413_o}),
.mi({open_n29007,RXD_pad}),
.sr(cpuresetn),
.f({\UART_RX/mux5_b5_sel_is_3_o ,\UART_RX/mux5_b4_sel_is_3_o }),
.q({open_n29011,UART_RX_data[0]})); // ../rtl/peripherals/UART_RX.v(43)
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u420|UART_RX/reg1_b5 (
.b({\UART_RX/n7 [1],open_n29014}),
.c({\UART_RX/counter [2],\UART_Interface/addr_reg [2]}),
.ce(\UART_RX/mux5_b5_sel_is_3_o ),
.clk(clk_pad),
.d({_al_u413_o,_al_u609_o}),
.mi({open_n29018,RXD_pad}),
.sr(cpuresetn),
.f({\UART_RX/mux5_b0_sel_is_3_o ,_al_u610_o}),
.q({open_n29033,UART_RX_data[5]})); // ../rtl/peripherals/UART_RX.v(43)
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~B*A)"),
//.LUT1("(~D*C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001000000000),
.INIT_LUT1(16'b0000000000100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u421|UART_RX/reg1_b6 (
.a({_al_u416_o,_al_u416_o}),
.b({\UART_RX/counter [1],\UART_RX/counter [1]}),
.c({\UART_RX/counter [2],\UART_RX/counter [2]}),
.ce(\UART_RX/mux5_b6_sel_is_3_o ),
.clk(clk_pad),
.d({\UART_RX/counter [3],\UART_RX/counter [3]}),
.mi({open_n29044,RXD_pad}),
.sr(cpuresetn),
.f({\UART_RX/mux5_b3_sel_is_3_o ,\UART_RX/mux5_b7_sel_is_3_o }),
.q({open_n29048,UART_RX_data[6]})); // ../rtl/peripherals/UART_RX.v(43)
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*(0*~(A)*~(D)+0*A*~(D)+~(0)*A*D+0*A*D)))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(C*~(B*(1*~(A)*~(D)+1*A*~(D)+~(1)*A*D+1*A*D)))"),
//.LUTG1("(~C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011110000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0111000000110000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u422|UART_RX/reg1_b4 (
.a({open_n29049,_al_u428_o}),
.b({\UART_RX/counter [1],_al_u609_o}),
.c({\UART_RX/counter [2],_al_u682_o}),
.ce(\UART_RX/mux5_b4_sel_is_3_o ),
.clk(clk_pad),
.d({_al_u413_o,\UART_Interface/addr_reg [2]}),
.e({open_n29050,UART_RX_data[0]}),
.mi({open_n29052,RXD_pad}),
.sr(cpuresetn),
.f({\UART_RX/mux5_b2_sel_is_3_o ,_al_u683_o}),
.q({open_n29067,UART_RX_data[4]})); // ../rtl/peripherals/UART_RX.v(43)
// ../rtl/peripherals/FIFO_UART.v(45)
EG_PHY_LSLICE #(
//.LUTF0("(C@(B*D))"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(C@(B*D))"),
//.LUTG1("(~(D@B)*~(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011110011110000),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b0011110011110000),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u424|UART_TX/FIFO_UART/reg0_b2 (
.a({\UART_TX/FIFO_UART/rp [0],open_n29068}),
.b({\UART_TX/FIFO_UART/rp [1],\UART_TX/FIFO_UART/rp [1]}),
.c({\UART_TX/FIFO_UART/wp [0],\UART_TX/FIFO_UART/rp [2]}),
.ce(\UART_TX/FIFOrd_en ),
.clk(clk_pad),
.d({\UART_TX/FIFO_UART/wp [1],\UART_TX/FIFO_UART/rp [0]}),
.sr(cpuresetn),
.f({_al_u424_o,open_n29085}),
.q({open_n29089,\UART_TX/FIFO_UART/rp [2]})); // ../rtl/peripherals/FIFO_UART.v(45)
EG_PHY_MSLICE #(
//.LUT0("(A*~(0@C)*~(D@B))"),
//.LUT1("(A*~(1@C)*~(D@B))"),
.INIT_LUT0(16'b0000100000000010),
.INIT_LUT1(16'b1000000000100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u425 (
.a({_al_u424_o,_al_u424_o}),
.b({\UART_TX/FIFO_UART/rp [2],\UART_TX/FIFO_UART/rp [2]}),
.c({\UART_TX/FIFO_UART/rp [3],\UART_TX/FIFO_UART/rp [3]}),
.d({\UART_TX/FIFO_UART/wp [2],\UART_TX/FIFO_UART/wp [2]}),
.mi({open_n29102,\UART_TX/FIFO_UART/wp [3]}),
.fx({open_n29107,\UART_TX/FIFO_UART/n25_lutinv }));
// ../rtl/peripherals/UART_TX.v(45)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG1("(D*~(C@B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000000111111),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b0011000000111111),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u426|UART_TX/counter_en_reg (
.b({\UART_TX/FIFO_UART/r_flag ,\UART_TX/trans_finish_lutinv }),
.c({\UART_TX/FIFO_UART/w_flag ,bps_en_tx}),
.clk(clk_pad),
.d({\UART_TX/FIFO_UART/n25_lutinv ,_al_u426_o}),
.sr(cpuresetn),
.f({_al_u426_o,open_n29129}),
.q({open_n29133,bps_en_tx})); // ../rtl/peripherals/UART_TX.v(45)
// ../rtl/peripherals/FIFO_UART.v(29)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u427|UART_TX/FIFO_UART/w_flag_reg (
.c({\UART_TX/trans_finish_lutinv ,open_n29138}),
.ce(\UART_TX/FIFO_UART/u7_sel_is_3_o ),
.clk(clk_pad),
.d({_al_u426_o,\UART_TX/FIFO_UART/w_flag }),
.sr(cpuresetn),
.f({\UART_TX/FIFOrd_en ,open_n29151}),
.q({open_n29155,\UART_TX/FIFO_UART/w_flag })); // ../rtl/peripherals/FIFO_UART.v(29)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(D*(C@B))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(D*(C@B))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0011110000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0011110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u428|_al_u429 (
.b({\UART_TX/FIFO_UART/r_flag ,open_n29158}),
.c({\UART_TX/FIFO_UART/w_flag ,\UART_Interface/wr_en_reg }),
.d({\UART_TX/FIFO_UART/n25_lutinv ,_al_u428_o}),
.f({_al_u428_o,\UART_TX/FIFOwr_en }));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\_al_u430|_al_u358 (
.a({\filter_unit/cnt [0],\clkuart_pwm/cnt [3]}),
.b({\filter_unit/cnt [1],\clkuart_pwm/cnt [4]}),
.c({\filter_unit/cnt [10],\clkuart_pwm/cnt [5]}),
.d({\filter_unit/cnt [11],\clkuart_pwm/cnt [6]}),
.f({_al_u430_o,_al_u358_o}));
EG_PHY_MSLICE #(
//.LUT0("(~0*D*~C*~B*A)"),
//.LUT1("(~1*D*~C*~B*A)"),
.INIT_LUT0(16'b0000001000000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u431 (
.a({_al_u430_o,_al_u430_o}),
.b({\filter_unit/cnt [12],\filter_unit/cnt [12]}),
.c({\filter_unit/cnt [13],\filter_unit/cnt [13]}),
.d({\filter_unit/cnt [14],\filter_unit/cnt [14]}),
.mi({open_n29215,\filter_unit/cnt [15]}),
.fx({open_n29220,_al_u431_o}));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*~C*~B*~A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000100000000),
.MODE("LOGIC"))
\_al_u432|_al_u435 (
.a({\filter_unit/cnt [6],_al_u431_o}),
.b({\filter_unit/cnt [7],_al_u432_o}),
.c({\filter_unit/cnt [8],_al_u433_o}),
.d({\filter_unit/cnt [9],_al_u434_o}),
.f({_al_u432_o,\filter_unit/n3 }));
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u433|filter_unit/reg5_b0 (
.a({\filter_unit/cnt [2],open_n29243}),
.b({\filter_unit/cnt [3],open_n29244}),
.c({\filter_unit/cnt [4],\filter_unit/n0 [0]}),
.clk(clk_pad),
.d({\filter_unit/cnt [5],\filter_unit/n3 }),
.sr(RSTn_pad),
.f({_al_u433_o,open_n29262}),
.q({open_n29266,\filter_unit/cnt [0]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u434|filter_unit/reg5_b15 (
.a({\filter_unit/cnt [16],open_n29267}),
.b({\filter_unit/cnt [17],open_n29268}),
.c({\filter_unit/cnt [18],\filter_unit/n0 [15]}),
.clk(clk_pad),
.d({\filter_unit/cnt [19],\filter_unit/n3 }),
.sr(RSTn_pad),
.f({_al_u434_o,open_n29286}),
.q({open_n29290,\filter_unit/cnt [15]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u446|RAMDATA_Interface/reg0_b10 (
.b({open_n29293,\u_logic/_al_u2460_o }),
.c({HADDR[30],\u_logic/Su8ax6 }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({HADDR[31],\u_logic/n5754 }),
.sr(cpuresetn),
.f({_al_u446_o,HADDR[12]}),
.q({open_n29313,RAMDATA_WADDR[10]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~(~C*B))"),
//.LUT1("(~C*~(A*~(D*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100001100),
.INIT_LUT1(16'b0000110100000101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u449|FM_Display/clk_1Hz_reg (
.a({\FM_Display/n89 ,open_n29314}),
.b({_al_u299_o,\FM_Display/clk_1Hz }),
.c({sel_pad[2],\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/ctrl_freq [1],_al_u459_o}),
.sr(RSTn_pad),
.f({_al_u449_o,open_n29328}),
.q({open_n29332,\FM_Display/clk_1Hz })); // ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(101)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u450|FM_Display/ChannelNO_or_FREQ_reg (
.c({\FM_Display/ctrl_freq [1],open_n29337}),
.clk(\FM_Display/clk_1Hz ),
.d({\FM_Display/ctrl_freq [0],\FM_Display/ChannelNO_or_FREQ }),
.sr(RSTn_pad),
.f({_al_u450_o,open_n29351}),
.q({open_n29355,\FM_Display/ChannelNO_or_FREQ })); // ../rtl/peripherals/FM_Display.v(101)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(D*~C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0000010000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u452|FM_Display/reg4_b15 (
.a({\FM_Display/conter [18],_al_u459_o}),
.b({\FM_Display/conter [19],\FM_Display/n12 [15]}),
.c({\FM_Display/conter [2],\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [20],\FM_Display/conter [15]}),
.sr(RSTn_pad),
.f({_al_u452_o,open_n29369}),
.q({open_n29373,\FM_Display/conter [15]})); // ../rtl/peripherals/FM_Display.v(52)
EG_PHY_MSLICE #(
//.LUT0("(0*~D*C*B*A)"),
//.LUT1("(1*~D*C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u453 (
.a({_al_u452_o,_al_u452_o}),
.b({\FM_Display/conter [14],\FM_Display/conter [14]}),
.c({\FM_Display/conter [15],\FM_Display/conter [15]}),
.d({\FM_Display/conter [16],\FM_Display/conter [16]}),
.mi({open_n29386,\FM_Display/conter [17]}),
.fx({open_n29391,_al_u453_o}));
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(D*C*~B*~A)"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(D*C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0001000000000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u454|FM_Display/reg4_b10 (
.a({\FM_Display/conter [10],_al_u459_o}),
.b({\FM_Display/conter [11],\FM_Display/n12 [10]}),
.c({\FM_Display/conter [12],\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [13],\FM_Display/conter [10]}),
.sr(RSTn_pad),
.f({_al_u454_o,open_n29411}),
.q({open_n29415,\FM_Display/conter [10]})); // ../rtl/peripherals/FM_Display.v(52)
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~D*~C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u455|FM_Display/reg4_b0 (
.a({_al_u453_o,_al_u459_o}),
.b({_al_u454_o,\FM_Display/n12 [0]}),
.c({\FM_Display/conter [0],\FM_Display/n11 }),
.clk(clk_pad),
.d(\FM_Display/conter [1:0]),
.sr(RSTn_pad),
.f({_al_u455_o,open_n29429}),
.q({open_n29433,\FM_Display/conter [0]})); // ../rtl/peripherals/FM_Display.v(52)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~D*~C*B*~A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~D*~C*B*~A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000000000000100),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u456|_al_u459 (
.a({\FM_Display/conter [6],_al_u455_o}),
.b({\FM_Display/conter [7],_al_u456_o}),
.c({\FM_Display/conter [8],_al_u457_o}),
.d({\FM_Display/conter [9],_al_u458_o}),
.f({_al_u456_o,_al_u459_o}));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
.INIT_LUT0(16'b0000000010000000),
.MODE("LOGIC"))
_al_u457 (
.a({open_n29458,\FM_Display/conter [21]}),
.b({open_n29459,\FM_Display/conter [22]}),
.c({open_n29460,\FM_Display/conter [23]}),
.d({open_n29463,\FM_Display/conter [24]}),
.f({open_n29477,_al_u457_o}));
// ../rtl/peripherals/FM_Display.v(52)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u458|FM_Display/reg4_b7 (
.a({\FM_Display/conter [25],_al_u459_o}),
.b({\FM_Display/conter [3],\FM_Display/n12 [7]}),
.c({\FM_Display/conter [4],\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [5],\FM_Display/conter [7]}),
.sr(RSTn_pad),
.f({_al_u458_o,open_n29496}),
.q({open_n29500,\FM_Display/conter [7]})); // ../rtl/peripherals/FM_Display.v(52)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0000001111101101),
.INIT_LUTF1(16'b0000001111111011),
.INIT_LUTG0(16'b1111111111101101),
.INIT_LUTG1(16'b1111111111111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u488|_al_u589 (
.a({\FM_Display/percentage_digit [0],\FM_Display/frac_digit [0]}),
.b({\FM_Display/percentage_digit [1],\FM_Display/frac_digit [1]}),
.c({\FM_Display/percentage_digit [2],\FM_Display/frac_digit [2]}),
.d({\FM_Display/percentage_digit [3],\FM_Display/frac_digit [3]}),
.e({seg_pad[2],seg_pad[0]}),
.f({\FM_Display/n95 [2],\FM_Display/n91 [0]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0000001110011111),
.INIT_LUTF1(16'b0000001111111011),
.INIT_LUTG0(16'b1111111110011111),
.INIT_LUTG1(16'b1111111111111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u489|_al_u662 (
.a({\FM_Display/single_digit [0],\FM_Display/single_digit [0]}),
.b({\FM_Display/single_digit [1],\FM_Display/single_digit [1]}),
.c({\FM_Display/single_digit [2],\FM_Display/single_digit [2]}),
.d({\FM_Display/single_digit [3],\FM_Display/single_digit [3]}),
.e(seg_pad[2:1]),
.f(\FM_Display/n93 [2:1]));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001101111100),
.INIT_LUTF1(16'b1111000010101100),
.INIT_LUTG0(16'b1111111101111101),
.INIT_LUTG1(16'b1111000010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u491|_al_u545 (
.a({\FM_Display/n93 [2],\FM_Display/thousand_digit [0]}),
.b({\FM_Display/n91 [2],\FM_Display/thousand_digit [1]}),
.c({\FM_Display/ctrl_freq [0],\FM_Display/thousand_digit [2]}),
.d({\FM_Display/ctrl_freq [1],\FM_Display/thousand_digit [3]}),
.e({open_n29547,seg_pad[6]}),
.f({_al_u491_o,\FM_Display/n100 [6]}));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~B*~(A)*~(C)+~B*A*~(C)+~(~B)*A*C+~B*A*C))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(D*~(~B*~(A)*~(C)+~B*A*~(C)+~(~B)*A*C+~B*A*C))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0101110000000000),
.INIT_LUTF1(16'b1010110011110000),
.INIT_LUTG0(16'b0101110000000000),
.INIT_LUTG1(16'b1010110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u492|_al_u594 (
.a({\FM_Display/n100 [2],_al_u592_o}),
.b({\FM_Display/n95 [2],\FM_Display/n95 [0]}),
.c({_al_u491_o,\FM_Display/ctrl_freq [0]}),
.d({\FM_Display/ctrl_freq [1],\FM_Display/ctrl_freq [1]}),
.f({\FM_Display/n111 [2],_al_u594_o}));
// ../rtl/peripherals/FM_Display.v(112)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~((~C*~B))*~(D)+~A*(~C*~B)*~(D)+~(~A)*(~C*~B)*D+~A*(~C*~B)*D)"),
//.LUT1("(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110010101010),
.INIT_LUT1(16'b1111110000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u493|FM_Display/reg7_b2 (
.a({open_n29592,\FM_Display/n114 [2]}),
.b({\FM_Display/n89 ,_al_u496_o}),
.c({seg_pad[2],_al_u499_o}),
.clk(\FM_Display/clk_1KHz ),
.d({\FM_Display/n111 [2],\FM_Display/n29 }),
.f({\FM_Display/n114 [2],open_n29607}),
.q({open_n29611,seg_pad[2]})); // ../rtl/peripherals/FM_Display.v(112)
// ../rtl/peripherals/FM_Display.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~B*A*~(~D*C))"),
//.LUTG0("(~D)"),
//.LUTG1("(~B*A*~(~D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0010001000000010),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0010001000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u496|FM_Display/reg8_b0 (
.a({_al_u494_o,open_n29612}),
.b({_al_u495_o,open_n29613}),
.c({\FM_Display/lt2/o_3_lutinv ,open_n29614}),
.ce(\FM_Display/n89 ),
.clk(\FM_Display/clk_1KHz ),
.d({seg_pad[2],\FM_Display/ctrl_freq [0]}),
.f({_al_u496_o,open_n29632}),
.q({open_n29636,\FM_Display/ctrl_freq [0]})); // ../rtl/peripherals/FM_Display.v(112)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~((~C*~A))*~((~0*~D))+~B*(~C*~A)*~((~0*~D))+~(~B)*(~C*~A)*(~0*~D)+~B*(~C*~A)*(~0*~D))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("~(~B*~((~C*~A))*~((~1*~D))+~B*(~C*~A)*~((~1*~D))+~(~B)*(~C*~A)*(~1*~D)+~B*(~C*~A)*(~1*~D))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100111111111011),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b1100111111111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u497|_al_u584 (
.a({\FM_Display/channel_NO [0],\FM_Display/ctrl_channel_NO }),
.b({\FM_Display/channel_NO [1],seg_pad[0]}),
.c({\FM_Display/channel_NO [2],\FM_Display/channel_NO [0]}),
.d({\FM_Display/channel_NO [3],\FM_Display/channel_NO [1]}),
.e({open_n29639,\FM_Display/channel_NO [2]}),
.f({_al_u497_o,_al_u584_o}));
// ../rtl/demodulation/FM_Demodulation.v(99)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u498|FM_HW/FM_Demodulation/EOC_Count_Demodulate_reg (
.c({\FM_Display/channel_NO [0],open_n29664}),
.ce(\FM_HW/FM_Demodulation/n0 ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_Display/ctrl_channel_NO ,\FM_HW/FM_Demodulation/EOC_Count_Demodulate }),
.f({_al_u498_o,open_n29678}),
.q({open_n29682,\FM_HW/FM_Demodulation/EOC_Count_Demodulate })); // ../rtl/demodulation/FM_Demodulation.v(99)
EG_PHY_PAD #(
//.LOCATION("C15"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u5 (
.do({open_n29684,open_n29685,open_n29686,LED_pad[3]}),
.opad(LED[3])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(~(D@B)*~(C@A))"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u501|_al_u502 (
.a({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/wp [2],\SPI_TX/FIFO_SPI/wp [0]}),
.d({\SPI_TX/FIFO_SPI/wp [4],\SPI_TX/FIFO_SPI/wp [1]}),
.f({_al_u501_o,_al_u502_o}));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(B*A*~(D@C))"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b1000000000001000),
.MODE("LOGIC"))
\_al_u503|_al_u598 (
.a({_al_u501_o,\SPI_TX/FIFOrd_en }),
.b({_al_u502_o,\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv }),
.c({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.d({\SPI_TX/FIFO_SPI/wp [3],\SPI_TX/FIFO_SPI/rp [4]}),
.f({\SPI_TX/FIFO_SPI/n25_lutinv ,\SPI_TX/FIFO_SPI/u13_sel_is_3_o }));
// ../rtl/peripherals/FIFO_SPI.v(47)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(~D)"),
//.LUTG1("(D*~(C@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u504|SPI_TX/FIFO_SPI/r_flag_reg (
.b({\SPI_TX/FIFO_SPI/r_flag ,open_n29746}),
.c({\SPI_TX/FIFO_SPI/w_flag ,open_n29747}),
.ce(\SPI_TX/FIFO_SPI/u13_sel_is_3_o ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/n25_lutinv ,\SPI_TX/FIFO_SPI/r_flag }),
.sr(cpuresetn),
.f({_al_u504_o,open_n29764}),
.q({open_n29768,\SPI_TX/FIFO_SPI/r_flag })); // ../rtl/peripherals/FIFO_SPI.v(47)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
.INIT_LUT0(16'b0000000011110000),
.MODE("LOGIC"))
_al_u505 (
.c({open_n29773,\SPI_TX/trans_finish_lutinv }),
.d({open_n29776,_al_u504_o}),
.f({open_n29790,\SPI_TX/FIFOrd_en }));
// ../rtl/peripherals/FIFO_SPI.v(31)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(B*~(A*(D@C)))"),
//.LUTG0("(~D)"),
//.LUTG1("(B*~(A*(D@C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b1100010001001100),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b1100010001001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u506|SPI_TX/FIFO_SPI/w_flag_reg (
.a({\SPI_TX/FIFO_SPI/n25_lutinv ,open_n29796}),
.b({\SPI_Interface/wr_en_reg ,open_n29797}),
.c({\SPI_TX/FIFO_SPI/r_flag ,open_n29798}),
.ce(\SPI_TX/FIFO_SPI/u7_sel_is_3_o ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/w_flag ,\SPI_TX/FIFO_SPI/w_flag }),
.sr(cpuresetn),
.f({\SPI_TX/FIFOwr_en ,open_n29815}),
.q({open_n29819,\SPI_TX/FIFO_SPI/w_flag })); // ../rtl/peripherals/FIFO_SPI.v(31)
// ../rtl/peripherals/FIFO_UART.v(45)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(~D)"),
//.LUTG1("(1*D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u511|UART_TX/FIFO_UART/reg0_b0 (
.a({\UART_TX/FIFOrd_en ,open_n29820}),
.b({\UART_TX/FIFO_UART/rp [0],open_n29821}),
.c({\UART_TX/FIFO_UART/rp [1],open_n29822}),
.ce(\UART_TX/FIFOrd_en ),
.clk(clk_pad),
.d({\UART_TX/FIFO_UART/rp [2],\UART_TX/FIFO_UART/rp [0]}),
.e({\UART_TX/FIFO_UART/rp [3],open_n29823}),
.sr(cpuresetn),
.f({\UART_TX/FIFO_UART/u13_sel_is_3_o ,open_n29838}),
.q({open_n29842,\UART_TX/FIFO_UART/rp [0]})); // ../rtl/peripherals/FIFO_UART.v(45)
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*B*A)"),
//.LUT1("(1*D*C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u512 (
.a({\UART_TX/FIFOwr_en ,\UART_TX/FIFOwr_en }),
.b({\UART_TX/FIFO_UART/wp [0],\UART_TX/FIFO_UART/wp [0]}),
.c({\UART_TX/FIFO_UART/wp [1],\UART_TX/FIFO_UART/wp [1]}),
.d({\UART_TX/FIFO_UART/wp [2],\UART_TX/FIFO_UART/wp [2]}),
.mi({open_n29855,\UART_TX/FIFO_UART/wp [3]}),
.fx({open_n29860,\UART_TX/FIFO_UART/u7_sel_is_3_o }));
// ../rtl/peripherals/UART_RX.v(32)
EG_PHY_MSLICE #(
//.LUT0("(~(D)*B*~(C)+~(D)*~(B)*C+D*~(B)*C)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000000111100),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u533|UART_RX/reg0_b0 (
.a({HADDR[11],open_n29863}),
.b({HADDR[10],\UART_RX/counter [0]}),
.c({HADDR[9],clk_uart}),
.ce(bps_en_rx),
.clk(clk_pad),
.d({HADDR[8],interrupt_UART}),
.sr(cpuresetn),
.f({_al_u533_o,open_n29876}),
.q({open_n29880,\UART_RX/counter [0]})); // ../rtl/peripherals/UART_RX.v(32)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\_al_u536|_al_u534 (
.a({_al_u534_o,_al_u533_o}),
.b({_al_u535_o,HADDR[7]}),
.c({HADDR[17],HADDR[6]}),
.d({HADDR[16],HADDR[5]}),
.f({_al_u536_o,_al_u534_o}));
// ../rtl/AHBsubordinate/AHBlite_UART.v(45)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u537|UART_Interface/wr_en_reg_reg (
.a({open_n29901,_al_u537_o}),
.b({open_n29902,_al_u539_o}),
.c({HADDR[4],_al_u543_o}),
.clk(clk_pad),
.d({_al_u536_o,HWRITE}),
.sr(cpuresetn),
.f({_al_u537_o,open_n29920}),
.q({open_n29924,\UART_Interface/wr_en_reg })); // ../rtl/AHBsubordinate/AHBlite_UART.v(45)
// ../rtl/AHBsubordinate/AHBlite_SPI.v(43)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u539|SPI_Interface/wr_en_reg_reg (
.a({open_n29925,_al_u537_o}),
.b({open_n29926,_al_u604_o}),
.c({HADDR[28],_al_u538_o}),
.clk(clk_pad),
.d({_al_u538_o,HADDR[28]}),
.sr(cpuresetn),
.f({_al_u539_o,open_n29940}),
.q({open_n29944,\SPI_Interface/wr_en_reg })); // ../rtl/AHBsubordinate/AHBlite_SPI.v(43)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110011),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u543|RAMDATA_Interface/reg0_b8 (
.b({open_n29947,\u_logic/_al_u2525_o }),
.c({HTRANS[1],\u_logic/H4ypw6 }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/n5754 }),
.sr(cpuresetn),
.f({_al_u543_o,HADDR[10]}),
.q({open_n29963,RAMDATA_WADDR[8]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~(B)*~((~C*~A))*~(D)*~(0)+~(B)*(~C*~A)*~(D)*~(0)+~(B)*~((~C*~A))*D*~(0)+B*~((~C*~A))*D*~(0)+~(B)*~((~C*~A))*D*0+B*~((~C*~A))*D*0+~(B)*(~C*~A)*D*0+B*(~C*~A)*D*0)"),
//.LUTF1("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTG0("(~(B)*~((~C*~A))*~(D)*~(1)+~(B)*(~C*~A)*~(D)*~(1)+~(B)*~((~C*~A))*D*~(1)+B*~((~C*~A))*D*~(1)+~(B)*~((~C*~A))*D*1+B*~((~C*~A))*D*1+~(B)*(~C*~A)*D*1+B*(~C*~A)*D*1)"),
//.LUTG1("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
.INIT_LUTF0(16'b1111101000110011),
.INIT_LUTF1(16'b0101001100000000),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b0101001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u547|_al_u560 (
.a({\FM_Display/n100 [6],_al_u557_o}),
.b({\FM_Display/n95 [6],_al_u558_o}),
.c({\FM_Display/ctrl_freq [0],_al_u559_o}),
.d(\FM_Display/ctrl_freq ),
.e({open_n29966,\FM_Display/ctrl_freq [1]}),
.f({_al_u547_o,_al_u560_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0000001111111010),
.INIT_LUTF1(16'b0000001101111100),
.INIT_LUTG0(16'b1111111111111011),
.INIT_LUTG1(16'b1111111101111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u549|_al_u487 (
.a({\FM_Display/frac_digit [0],\FM_Display/thousand_digit [0]}),
.b({\FM_Display/frac_digit [1],\FM_Display/thousand_digit [1]}),
.c({\FM_Display/frac_digit [2],\FM_Display/thousand_digit [2]}),
.d({\FM_Display/frac_digit [3],\FM_Display/thousand_digit [3]}),
.e({seg_pad[6],seg_pad[2]}),
.f({\FM_Display/n91 [6],\FM_Display/n100 [2]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D)"),
//.LUTF1("(C*~(~B*~(A)*~(D)+~B*A*~(D)+~(~B)*A*D+~B*A*D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D)"),
//.LUTG1("(C*~(~B*~(A)*~(D)+~B*A*~(D)+~(~B)*A*D+~B*A*D))"),
.INIT_LUTF0(16'b0010111100100101),
.INIT_LUTF1(16'b0101000011000000),
.INIT_LUTG0(16'b0010111100100101),
.INIT_LUTG1(16'b0101000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u553|_al_u577 (
.a({_al_u551_o,\FM_Display/lt2/o_3_lutinv }),
.b({_al_u552_o,seg_pad[3]}),
.c({\FM_Display/n29 ,\FM_Display/channel_NO [4]}),
.d({\FM_Display/channel_NO [3],_al_u576_o}),
.f({_al_u553_o,_al_u577_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~((~C*~(~0*~B)))*~(D)+A*(~C*~(~0*~B))*~(D)+~(A)*(~C*~(~0*~B))*D+A*(~C*~(~0*~B))*D)"),
//.LUTF1("(~C*~(D*~B*~A))"),
//.LUTG0("(A*~((~C*~(~1*~B)))*~(D)+A*(~C*~(~1*~B))*~(D)+~(A)*(~C*~(~1*~B))*D+A*(~C*~(~1*~B))*D)"),
//.LUTG1("(~C*~(D*~B*~A))"),
.INIT_LUTF0(16'b0000110010101010),
.INIT_LUTF1(16'b0000111000001111),
.INIT_LUTG0(16'b0000111110101010),
.INIT_LUTG1(16'b0000111000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u554|_al_u595 (
.a({_al_u547_o,_al_u588_o}),
.b({_al_u550_o,_al_u591_o}),
.c({_al_u553_o,_al_u594_o}),
.d({\FM_Display/n89 ,\FM_Display/ChannelNO_or_FREQ }),
.e({open_n30035,\FM_Display/ctrl_freq [1]}),
.f({_al_u554_o,_al_u595_o}));
EG_PHY_MSLICE #(
//.LUT0("(~D*(A*~(B)*~(C)+~(A)*B*~(C)+A*B*~(C)+A*B*C))"),
//.LUT1("(C*~(~B*~D))"),
.INIT_LUT0(16'b0000000010001110),
.INIT_LUT1(16'b1111000011000000),
.MODE("LOGIC"))
\_al_u556|_al_u670 (
.a({open_n30056,\FM_Display/single_digit [0]}),
.b(\FM_Display/single_digit [2:1]),
.c(\FM_Display/single_digit [3:2]),
.d({\FM_Display/single_digit [1],\FM_Display/single_digit [3]}),
.f({_al_u556_o,_al_u670_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*~A*~(~C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~1*D*~A*~(~C*B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0101000100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u557|_al_u671 (
.a({open_n30077,_al_u670_o}),
.b({open_n30078,_al_u556_o}),
.c({seg_pad[4],seg_pad[5]}),
.d({_al_u556_o,\FM_Display/ctrl_freq [0]}),
.e({open_n30081,\FM_Display/ctrl_freq [1]}),
.f({_al_u557_o,_al_u671_o}));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1)"),
.INIT_LUT0(16'b1111111010111010),
.INIT_LUT1(16'b0000001010111010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u558 (
.a({\FM_Display/frac_digit [0],\FM_Display/frac_digit [0]}),
.b({\FM_Display/frac_digit [1],\FM_Display/frac_digit [1]}),
.c({\FM_Display/frac_digit [2],\FM_Display/frac_digit [2]}),
.d({\FM_Display/frac_digit [3],\FM_Display/frac_digit [3]}),
.mi({open_n30114,seg_pad[4]}),
.fx({open_n30119,_al_u558_o}));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUT0(16'b0000000101000101),
.MODE("LOGIC"))
_al_u559 (
.a({open_n30122,\FM_Display/single_digit [0]}),
.b({open_n30123,\FM_Display/single_digit [1]}),
.c({open_n30124,\FM_Display/single_digit [2]}),
.d({open_n30127,\FM_Display/single_digit [3]}),
.f({open_n30141,_al_u559_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(C*~(~B*~D))"),
//.LUTG0("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(C*~(~B*~D))"),
.INIT_LUTF0(16'b0000001101111100),
.INIT_LUTF1(16'b1111000011000000),
.INIT_LUTG0(16'b1111111101111100),
.INIT_LUTG1(16'b1111000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u561|_al_u546 (
.a({open_n30147,\FM_Display/percentage_digit [0]}),
.b(\FM_Display/percentage_digit [2:1]),
.c(\FM_Display/percentage_digit [3:2]),
.d({\FM_Display/percentage_digit [1],\FM_Display/percentage_digit [3]}),
.e({open_n30150,seg_pad[6]}),
.f({_al_u561_o,\FM_Display/n95 [6]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~D*~(C*~B))"),
//.LUTG0("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~D*~(C*~B))"),
.INIT_LUTF0(16'b0000001101111100),
.INIT_LUTF1(16'b0000000011001111),
.INIT_LUTG0(16'b1111111101111100),
.INIT_LUTG1(16'b0000000011001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u562|_al_u548 (
.a({open_n30171,\FM_Display/single_digit [0]}),
.b({\FM_Display/percentage_digit [1],\FM_Display/single_digit [1]}),
.c({\FM_Display/percentage_digit [2],\FM_Display/single_digit [2]}),
.d({\FM_Display/percentage_digit [0],\FM_Display/single_digit [3]}),
.e({open_n30174,seg_pad[6]}),
.f({\FM_Display/mux14_b4/B2_0 ,\FM_Display/n93 [6]}));
EG_PHY_MSLICE #(
//.LUT0("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUT1("(D*(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A))"),
.INIT_LUT0(16'b0101001100000000),
.INIT_LUT1(16'b1110010000000000),
.MODE("LOGIC"))
\_al_u563|_al_u676 (
.a({_al_u561_o,_al_u674_o}),
.b({\FM_Display/mux14_b4/B2_0 ,_al_u675_o}),
.c({seg_pad[4],\FM_Display/ctrl_freq [0]}),
.d({\FM_Display/ctrl_freq [1],\FM_Display/ctrl_freq [1]}),
.f({_al_u563_o,_al_u676_o}));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1)"),
.INIT_LUT0(16'b1111111010111011),
.INIT_LUT1(16'b0000001010111010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u564 (
.a({\FM_Display/thousand_digit [0],\FM_Display/thousand_digit [0]}),
.b({\FM_Display/thousand_digit [1],\FM_Display/thousand_digit [1]}),
.c({\FM_Display/thousand_digit [2],\FM_Display/thousand_digit [2]}),
.d({\FM_Display/thousand_digit [3],\FM_Display/thousand_digit [3]}),
.mi({open_n30227,seg_pad[4]}),
.fx({open_n30232,_al_u564_o}));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~0*C)*~(D*B))"),
//.LUT1("(A*~(~1*C)*~(D*B))"),
.INIT_LUT0(16'b0000001000001010),
.INIT_LUT1(16'b0010001010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u566 (
.a({_al_u494_o,_al_u494_o}),
.b({\FM_Display/lt2/o_3_lutinv ,\FM_Display/lt2/o_3_lutinv }),
.c({_al_u498_o,_al_u498_o}),
.d({seg_pad[4],seg_pad[4]}),
.mi({open_n30247,\FM_Display/channel_NO [3]}),
.fx({open_n30252,_al_u566_o}));
EG_PHY_MSLICE #(
//.LUT0("(~B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*C*~(D)*~(0)+~(A)*C*D*~(0)+A*C*D*~(0)+~(A)*~(C)*~(D)*0+A*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*~(C)*D*0))"),
//.LUT1("(~B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*C*~(D)*~(1)+~(A)*C*D*~(1)+A*C*D*~(1)+~(A)*~(C)*~(D)*1+A*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*~(C)*D*1))"),
.INIT_LUT0(16'b0011000000110011),
.INIT_LUT1(16'b0000000100010011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u567 (
.a({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_channel_NO }),
.b({\FM_Display/channel_NO [0],\FM_Display/channel_NO [0]}),
.c({\FM_Display/channel_NO [1],\FM_Display/channel_NO [1]}),
.d({\FM_Display/channel_NO [2],\FM_Display/channel_NO [2]}),
.mi({open_n30267,\FM_Display/channel_NO [3]}),
.fx({open_n30272,_al_u567_o}));
// ../rtl/peripherals/FM_Display.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(C*~A*~(~D*~B))"),
//.LUTG0("(~D)"),
//.LUTG1("(C*~A*~(~D*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0101000001000000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0101000001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u568|FM_Display/ctrl_channel_NO_reg (
.a({_al_u566_o,open_n30275}),
.b({_al_u567_o,open_n30276}),
.c({\FM_Display/n29 ,open_n30277}),
.ce(\FM_Display/n29 ),
.clk(\FM_Display/clk_1KHz ),
.d({\FM_Display/channel_NO [4],\FM_Display/ctrl_channel_NO }),
.f({_al_u568_o,open_n30295}),
.q({open_n30299,\FM_Display/ctrl_channel_NO })); // ../rtl/peripherals/FM_Display.v(112)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0000001101101101),
.INIT_LUT1(16'b1111111101101101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u570 (
.a({\FM_Display/frac_digit [0],\FM_Display/frac_digit [0]}),
.b({\FM_Display/frac_digit [1],\FM_Display/frac_digit [1]}),
.c({\FM_Display/frac_digit [2],\FM_Display/frac_digit [2]}),
.d({\FM_Display/frac_digit [3],\FM_Display/frac_digit [3]}),
.mi({open_n30312,seg_pad[3]}),
.fx({open_n30317,\FM_Display/n91 [3]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0000001111111011),
.INIT_LUTF1(16'b0000001101101101),
.INIT_LUTG0(16'b1111111111111011),
.INIT_LUTG1(16'b1111111101101101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u572|_al_u490 (
.a({\FM_Display/percentage_digit [0],\FM_Display/frac_digit [0]}),
.b({\FM_Display/percentage_digit [1],\FM_Display/frac_digit [1]}),
.c({\FM_Display/percentage_digit [2],\FM_Display/frac_digit [2]}),
.d({\FM_Display/percentage_digit [3],\FM_Display/frac_digit [3]}),
.e(seg_pad[3:2]),
.f({\FM_Display/n95 [3],\FM_Display/n91 [2]}));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1)"),
.INIT_LUT0(16'b1111110010010011),
.INIT_LUT1(16'b0000000010010010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u573 (
.a({\FM_Display/thousand_digit [0],\FM_Display/thousand_digit [0]}),
.b({\FM_Display/thousand_digit [1],\FM_Display/thousand_digit [1]}),
.c({\FM_Display/thousand_digit [2],\FM_Display/thousand_digit [2]}),
.d({\FM_Display/thousand_digit [3],\FM_Display/thousand_digit [3]}),
.mi({open_n30354,seg_pad[3]}),
.fx({open_n30359,_al_u573_o}));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0011101011110000),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\_al_u575|_al_u574 (
.a({\FM_Display/n91 [3],\FM_Display/n95 [3]}),
.b({\FM_Display/n93 [3],_al_u573_o}),
.c({_al_u574_o,\FM_Display/ctrl_freq [0]}),
.d({\FM_Display/ctrl_freq [1],\FM_Display/ctrl_freq [1]}),
.f({\FM_Display/n111 [3],_al_u574_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D))"),
//.LUTF1("(~(D@B)*(C@A))"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D))"),
//.LUTG1("(~(D@B)*(C@A))"),
.INIT_LUTF0(16'b0000001101101101),
.INIT_LUTF1(16'b0100100000010010),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0100100000010010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u576|_al_u581 (
.a({\FM_Display/channel_NO [0],\FM_Display/channel_NO [0]}),
.b({\FM_Display/channel_NO [1],\FM_Display/channel_NO [1]}),
.c({\FM_Display/channel_NO [2],\FM_Display/channel_NO [2]}),
.d({\FM_Display/channel_NO [3],\FM_Display/channel_NO [3]}),
.e({open_n30384,\FM_Display/channel_NO [4]}),
.f({_al_u576_o,_al_u581_o}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~B*~D))"),
//.LUT1("(~(D)*~(B)*~(C)+~(D)*B*~(C)+D*~(B)*C+~(D)*B*C+D*B*C)"),
.INIT_LUT0(16'b1111000011000000),
.INIT_LUT1(16'b1111000011001111),
.MODE("LOGIC"))
\_al_u578|_al_u579 (
.b({\FM_Display/channel_NO [2],\FM_Display/channel_NO [3]}),
.c({\FM_Display/channel_NO [3],\FM_Display/channel_NO [4]}),
.d({\FM_Display/channel_NO [0],\FM_Display/channel_NO [2]}),
.f({_al_u578_o,_al_u579_o}));
EG_PHY_MSLICE #(
//.LUT0("(D*A*~(~C*~B))"),
//.LUT1("(~C*~(B*~D))"),
.INIT_LUT0(16'b1010100000000000),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"))
\_al_u582|_al_u587 (
.a({open_n30427,\FM_Display/ctrl_channel_NO }),
.b({_al_u580_o,\FM_Display/channel_NO [1]}),
.c({_al_u581_o,\FM_Display/channel_NO [2]}),
.d({_al_u577_o,\FM_Display/channel_NO [3]}),
.f({_al_u582_o,_al_u587_o}));
EG_PHY_MSLICE #(
//.LUT0("(~(~D*~A)*~(B)*~(C)+~(~D*~A)*B*~(C)+~(~(~D*~A))*B*C+~(~D*~A)*B*C)"),
//.LUT1("(D*(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
.INIT_LUT0(16'b1100111111001010),
.INIT_LUT1(16'b1010110000000000),
.MODE("LOGIC"))
\_al_u586|_al_u580 (
.a({_al_u584_o,_al_u578_o}),
.b({_al_u585_o,_al_u579_o}),
.c({\FM_Display/channel_NO [3],\FM_Display/ctrl_channel_NO }),
.d({\FM_Display/channel_NO [4],\FM_Display/channel_NO [1]}),
.f({_al_u586_o,_al_u580_o}));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~((~C*B))*~(D)+~(A)*(~C*B)*~(D)+~(A)*~((~C*B))*D+A*~((~C*B))*D+A*(~C*B)*D)"),
//.LUT1("(~A*~(~D*~C*~B))"),
.INIT_LUT0(16'b1111101101010101),
.INIT_LUT1(16'b0101010101010100),
.MODE("LOGIC"))
\_al_u588|_al_u585 (
.a({_al_u586_o,\FM_Display/ctrl_channel_NO }),
.b({_al_u576_o,\FM_Display/channel_NO [0]}),
.c({_al_u587_o,\FM_Display/channel_NO [1]}),
.d({\FM_Display/channel_NO [4],\FM_Display/channel_NO [2]}),
.f({_al_u588_o,_al_u585_o}));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0000001111101101),
.INIT_LUT1(16'b1111111111101101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u590 (
.a({\FM_Display/single_digit [0],\FM_Display/single_digit [0]}),
.b({\FM_Display/single_digit [1],\FM_Display/single_digit [1]}),
.c({\FM_Display/single_digit [2],\FM_Display/single_digit [2]}),
.d({\FM_Display/single_digit [3],\FM_Display/single_digit [3]}),
.mi({open_n30500,seg_pad[0]}),
.fx({open_n30505,\FM_Display/n93 [0]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*B*C*~(D)*0)"),
//.LUTF1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*B*C*~(D)*1)"),
//.LUTG1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b1111110010001111),
.INIT_LUTF1(16'b0011000000111111),
.INIT_LUTG0(16'b0000000010001110),
.INIT_LUTG1(16'b0011000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u591|_al_u674 (
.a({open_n30508,\FM_Display/thousand_digit [0]}),
.b({\FM_Display/n93 [0],\FM_Display/thousand_digit [1]}),
.c({\FM_Display/ctrl_freq [0],\FM_Display/thousand_digit [2]}),
.d({\FM_Display/n91 [0],\FM_Display/thousand_digit [3]}),
.e({open_n30511,seg_pad[5]}),
.f({_al_u591_o,_al_u674_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1)"),
.INIT_LUTF0(16'b0000001110011110),
.INIT_LUTF1(16'b1111110000010011),
.INIT_LUTG0(16'b1111111110011111),
.INIT_LUTG1(16'b0000000000010010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u592|_al_u659 (
.a({\FM_Display/thousand_digit [0],\FM_Display/thousand_digit [0]}),
.b({\FM_Display/thousand_digit [1],\FM_Display/thousand_digit [1]}),
.c({\FM_Display/thousand_digit [2],\FM_Display/thousand_digit [2]}),
.d({\FM_Display/thousand_digit [3],\FM_Display/thousand_digit [3]}),
.e({seg_pad[0],seg_pad[1]}),
.f({_al_u592_o,\FM_Display/n100 [1]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0000001110011111),
.INIT_LUTF1(16'b0000001111101101),
.INIT_LUTG0(16'b1111111110011111),
.INIT_LUTG1(16'b1111111111101101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u593|_al_u660 (
.a({\FM_Display/percentage_digit [0],\FM_Display/percentage_digit [0]}),
.b({\FM_Display/percentage_digit [1],\FM_Display/percentage_digit [1]}),
.c({\FM_Display/percentage_digit [2],\FM_Display/percentage_digit [2]}),
.d({\FM_Display/percentage_digit [3],\FM_Display/percentage_digit [3]}),
.e({seg_pad[0],seg_pad[1]}),
.f({\FM_Display/n95 [0],\FM_Display/n95 [1]}));
// ../rtl/peripherals/FIFO_SPI.v(31)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u599|SPI_TX/FIFO_SPI/reg1_b0 (
.c({\SPI_TX/FIFO_SPI/wp [4],open_n30580}),
.ce(\SPI_TX/FIFOwr_en ),
.clk(clk_pad),
.d({\SPI_TX/FIFOwr_en ,\SPI_TX/FIFO_SPI/wp [0]}),
.sr(cpuresetn),
.f({\SPI_TX/FIFO_SPI/n2_1 ,open_n30593}),
.q({open_n30597,\SPI_TX/FIFO_SPI/wp [0]})); // ../rtl/peripherals/FIFO_SPI.v(31)
EG_PHY_PAD #(
//.LOCATION("B16"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u6 (
.do({open_n30599,open_n30600,open_n30601,LED_pad[3]}),
.opad(LED[2])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTG0("(~C*B*D)"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTG0(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
_al_u600 (
.b({open_n30617,\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv }),
.c({open_n30618,\SPI_TX/FIFO_SPI/wp [3]}),
.d({open_n30621,\SPI_TX/FIFO_SPI/n2_1 }),
.f({open_n30639,\SPI_TX/FIFO_SPI/u7_sel_is_3_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\_al_u601|_al_u341 (
.b({open_n30647,\SPI_TX/FIFO_SPI/wp [1]}),
.c({\SPI_TX/FIFO_SPI/wp [4],\SPI_TX/FIFO_SPI/wp [2]}),
.d({\SPI_TX/FIFOwr_en ,\SPI_TX/FIFO_SPI/wp [0]}),
.f({\SPI_TX/FIFO_SPI/n2_0 ,\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv }));
// ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u604|Interconncet/SlaveMUX/reg0_b3 (
.b({open_n30670,_al_u536_o}),
.c({HWRITE,HADDR[4]}),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({_al_u543_o,_al_u539_o}),
.sr(cpuresetn),
.f({_al_u604_o,open_n30683}),
.q({open_n30687,\Interconncet/SlaveMUX/hsel_reg [3]})); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~D*~C*~B*A)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"))
\_al_u609|_al_u544 (
.a({_al_u608_o,open_n30688}),
.b({\UART_Interface/addr_reg [0],open_n30689}),
.c({\UART_Interface/addr_reg [1],_al_u543_o}),
.d({\UART_Interface/addr_reg [3],HSEL_P0}),
.f({_al_u609_o,\RAMCODE_Interface/n15 }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*D))"),
//.LUT1("(B*~(C*D))"),
.INIT_LUT0(16'b0000110011001100),
.INIT_LUT1(16'b0000110011001100),
.MODE("LOGIC"))
\_al_u612|_al_u621 (
.b({_al_u227_o,_al_u227_o}),
.c({FMDATA_RDATA[7],FMDATA_RDATA[4]}),
.d({_al_u304_o,_al_u304_o}),
.f({_al_u612_o,_al_u621_o}));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTG0("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001000000010),
.INIT_LUTF1(16'b0010001000000010),
.INIT_LUTG0(16'b0010101000001010),
.INIT_LUTG1(16'b0010101000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u614|FMDATA_Interface/reg0_b0 (
.a({_al_u302_o,_al_u302_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.ce(\FMDATA_Interface/n15 ),
.clk(clk_pad),
.d({RAMCODE_RDATA[6],RAMCODE_RDATA[7]}),
.e({RAMDATA_RDATA[6],RAMDATA_RDATA[7]}),
.mi({open_n30733,HADDR[2]}),
.sr(cpuresetn),
.f({_al_u614_o,_al_u611_o}),
.q({open_n30748,FMDATA_WADDR[0]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*D))"),
//.LUTF1("(B*~(C*D))"),
//.LUTG0("(B*~(C*D))"),
//.LUTG1("(B*~(C*D))"),
.INIT_LUTF0(16'b0000110011001100),
.INIT_LUTF1(16'b0000110011001100),
.INIT_LUTG0(16'b0000110011001100),
.INIT_LUTG1(16'b0000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u618|_al_u682 (
.b({_al_u227_o,_al_u227_o}),
.c({FMDATA_RDATA[5],FMDATA_RDATA[0]}),
.d({_al_u304_o,_al_u304_o}),
.f({_al_u618_o,_al_u682_o}));
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D*A)))"),
//.LUTF1("(B*~(C*~(D*A)))"),
//.LUTG0("(B*~(C*~(D*A)))"),
//.LUTG1("(B*~(C*~(D*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000110000001100),
.INIT_LUTF1(16'b1000110000001100),
.INIT_LUTG0(16'b1000110000001100),
.INIT_LUTG1(16'b1000110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u619|UART_RX/reg1_b2 (
.a({_al_u610_o,_al_u610_o}),
.b({_al_u617_o,_al_u620_o}),
.c({_al_u618_o,_al_u621_o}),
.ce(\UART_RX/mux5_b2_sel_is_3_o ),
.clk(clk_pad),
.d(UART_RX_data[5:4]),
.mi({open_n30778,RXD_pad}),
.sr(cpuresetn),
.f(HRDATA[5:4]),
.q({open_n30793,UART_RX_data[2]})); // ../rtl/peripherals/UART_RX.v(43)
// ../rtl/demodulation/FM_RSSI.v(102)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTG0("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001000000010),
.INIT_LUTF1(16'b0010001000000010),
.INIT_LUTG0(16'b0010101000001010),
.INIT_LUTG1(16'b0010101000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u620|FM_HW/FM_RSSI_SCAN/reg3_b0 (
.a({_al_u302_o,_al_u302_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({RAMCODE_RDATA[4],RAMCODE_RDATA[5]}),
.e({RAMDATA_RDATA[4],RAMDATA_RDATA[5]}),
.mi({open_n30795,\FM_HW/FM_RSSI_SCAN/RSSI_SUM [10]}),
.f({_al_u620_o,_al_u617_o}),
.q({open_n30811,\FM_HW/rd_SCAN [0]})); // ../rtl/demodulation/FM_RSSI.v(102)
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUT0(16'b0010001000000010),
.INIT_LUT1(16'b0010101000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u623 (
.a({_al_u302_o,_al_u302_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMCODE_RDATA[3],RAMCODE_RDATA[3]}),
.mi({open_n30824,RAMDATA_RDATA[3]}),
.fx({open_n30829,_al_u623_o}));
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUT0(16'b0010001000000010),
.INIT_LUT1(16'b0010101000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u626 (
.a({_al_u302_o,_al_u302_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMCODE_RDATA[2],RAMCODE_RDATA[2]}),
.mi({open_n30844,RAMDATA_RDATA[2]}),
.fx({open_n30849,_al_u626_o}));
// ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u635|Interconncet/SlaveMUX/reg0_b2 (
.b({_al_u539_o,open_n30854}),
.c({_al_u543_o,_al_u539_o}),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({_al_u537_o,_al_u537_o}),
.sr(cpuresetn),
.f({\UART_Interface/n5 ,open_n30871}),
.q({open_n30875,\Interconncet/SlaveMUX/hsel_reg [2]})); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(C*~(~B*~D))"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(C*~(~B*~D))"),
.INIT_LUTF0(16'b1010110011110000),
.INIT_LUTF1(16'b1111000011000000),
.INIT_LUTG0(16'b1010110011110000),
.INIT_LUTG1(16'b1111000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u636|_al_u664 (
.a({open_n30876,\FM_Display/n100 [1]}),
.b({\FM_Display/thousand_digit [2],\FM_Display/n95 [1]}),
.c({\FM_Display/thousand_digit [3],_al_u663_o}),
.d({\FM_Display/thousand_digit [1],\FM_Display/ctrl_freq [1]}),
.f({_al_u636_o,\FM_Display/n111 [1]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~B*~((0*D))*~(A)+~B*(0*D)*~(A)+~(~B)*(0*D)*A+~B*(0*D)*A))"),
//.LUTF1("~(~C*~((~B*~A))*~(D)+~C*(~B*~A)*~(D)+~(~C)*(~B*~A)*D+~C*(~B*~A)*D)"),
//.LUTG0("(C*~(~B*~((1*D))*~(A)+~B*(1*D)*~(A)+~(~B)*(1*D)*A+~B*(1*D)*A))"),
//.LUTG1("~(~C*~((~B*~A))*~(D)+~C*(~B*~A)*~(D)+~(~C)*(~B*~A)*D+~C*(~B*~A)*D)"),
.INIT_LUTF0(16'b1110000011100000),
.INIT_LUTF1(16'b1110111011110000),
.INIT_LUTG0(16'b0100000011100000),
.INIT_LUTG1(16'b1110111011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u637|_al_u565 (
.a({_al_u299_o,_al_u560_o}),
.b({_al_u636_o,_al_u563_o}),
.c({_al_u561_o,\FM_Display/n89 }),
.d({\FM_Display/ctrl_freq [0],_al_u564_o}),
.e({open_n30903,\FM_Display/ctrl_freq [1]}),
.f({_al_u637_o,_al_u565_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*~(~B*~D))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*~(~B*~D))"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u638|_al_u663 (
.a({open_n30924,\FM_Display/n91 [1]}),
.b({\FM_Display/frac_digit [2],\FM_Display/n93 [1]}),
.c({\FM_Display/frac_digit [3],\FM_Display/ctrl_freq [0]}),
.d({\FM_Display/frac_digit [1],\FM_Display/ctrl_freq [1]}),
.f({_al_u638_o,_al_u663_o}));
// ../rtl/peripherals/FM_Display.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(A*~((C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0))*~(D)+A*(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0)*~(D)+~(A)*(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0)*D+A*(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0)*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(A*~((C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1))*~(D)+A*(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1)*~(D)+~(A)*(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1)*D+A*(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1)*D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000010101010),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1100110010101010),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u639|FM_Display/reg7_b5 (
.a({open_n30949,\FM_Display/n114 [5]}),
.b({open_n30950,_al_u679_o}),
.c({\FM_Display/ctrl_freq [1],_al_u680_o}),
.clk(\FM_Display/clk_1KHz ),
.d({\FM_Display/ctrl_freq [0],\FM_Display/n29 }),
.e({open_n30952,\FM_Display/channel_NO [4]}),
.f({_al_u639_o,open_n30968}),
.q({open_n30972,seg_pad[5]})); // ../rtl/peripherals/FM_Display.v(112)
// ../rtl/peripherals/FM_Display.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(~((~B*A))*C*~(D)+(~B*A)*C*~(D)+~((~B*A))*~(C)*D+(~B*A)*~(C)*D+~((~B*A))*C*D)"),
//.LUTF1("(A*~(D*~C*B))"),
//.LUTG0("(~((~B*A))*C*~(D)+(~B*A)*C*~(D)+~((~B*A))*~(C)*D+(~B*A)*~(C)*D+~((~B*A))*C*D)"),
//.LUTG1("(A*~(D*~C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101111111110000),
.INIT_LUTF1(16'b1010001010101010),
.INIT_LUTG0(16'b1101111111110000),
.INIT_LUTG1(16'b1010001010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\_al_u642|FM_Display/reg6_b0 (
.a({\FM_HW/FM_Demodulation/n0 ,_al_u299_o}),
.b({\FM_Display/lt2/o_3_lutinv ,sel_pad[0]}),
.c({\FM_Display/ChannelNO_or_FREQ ,\FM_Display/ctrl_freq [0]}),
.ce(\FM_Display/n89 ),
.clk(\FM_Display/clk_1KHz ),
.d({\FM_Display/channel_NO [4],\FM_Display/ctrl_freq [1]}),
.sr(\FM_Display/n29 ),
.f({_al_u642_o,open_n30989}),
.q({open_n30993,sel_pad[0]})); // ../rtl/peripherals/FM_Display.v(112)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*~A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~D*C*~B*~A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0000000000010000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000010000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u644|_al_u395 (
.a({\SPI_TX/counter [10],\SPI_TX/counter [10]}),
.b({\SPI_TX/counter [13],\SPI_TX/counter [3]}),
.c({\SPI_TX/counter [7],\SPI_TX/counter [4]}),
.d({\SPI_TX/counter [8],\SPI_TX/counter [8]}),
.f({_al_u644_o,_al_u395_o}));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
.INIT_LUT0(16'b0000000000000010),
.MODE("LOGIC"))
_al_u645 (
.a({open_n31018,_al_u644_o}),
.b({open_n31019,\SPI_TX/counter [11]}),
.c({open_n31020,\SPI_TX/counter [12]}),
.d({open_n31023,\SPI_TX/counter [9]}),
.f({open_n31037,_al_u645_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u648|_al_u649 (
.b({_al_u646_o,open_n31045}),
.c({_al_u647_o,\SPI_TX/counter [2]}),
.d({_al_u645_o,\SPI_TX/counter [1]}),
.f({\SPI_TX/n77_lutinv ,_al_u649_o}));
EG_PHY_MSLICE #(
//.LUT0("(~B*~A*~(~D*C))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0001000100000001),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\_al_u650|u_logic/_al_u2105 (
.a({open_n31070,\u_logic/_al_u2103_o }),
.b({open_n31071,\u_logic/_al_u2104_o }),
.c({_al_u649_o,key_interrupt[11]}),
.d({\SPI_TX/n77_lutinv ,\u_logic/Woiax6 }),
.f({_al_u650_o,\u_logic/_al_u2105_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\_al_u651|_al_u174 (
.c({_al_u646_o,HWDATA[21]}),
.d({_al_u645_o,\SPI_Interface/wr_en_reg }),
.f({_al_u651_o,SPI_TX_Data[21]}));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*C*B*A)"),
//.LUT1("(~1*~D*C*B*A)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u652 (
.a({_al_u397_o,_al_u397_o}),
.b({\SPI_TX/counter [3],\SPI_TX/counter [3]}),
.c({\SPI_TX/counter [4],\SPI_TX/counter [4]}),
.d({\SPI_TX/counter [5],\SPI_TX/counter [5]}),
.mi({open_n31128,\SPI_TX/counter [6]}),
.fx({open_n31133,_al_u652_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u653|_al_u646 (
.a({\SPI_TX/counter [3],\SPI_TX/counter [3]}),
.b({\SPI_TX/counter [4],\SPI_TX/counter [4]}),
.c({\SPI_TX/counter [5],\SPI_TX/counter [5]}),
.d({\SPI_TX/counter [6],\SPI_TX/counter [6]}),
.f({_al_u653_o,_al_u646_o}));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*~A)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000000100),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\_al_u656|_al_u654 (
.a({open_n31160,\SPI_TX/counter [3]}),
.b({open_n31161,\SPI_TX/counter [4]}),
.c(\SPI_TX/counter [6:5]),
.d({\SPI_TX/counter [5],\SPI_TX/counter [6]}),
.f({_al_u656_o,_al_u654_o}));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~C*~B))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1010101010101000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\_al_u657|_al_u655 (
.a({open_n31182,_al_u645_o}),
.b({_al_u656_o,_al_u652_o}),
.c({_al_u647_o,_al_u653_o}),
.d({_al_u645_o,_al_u654_o}),
.f({_al_u657_o,_al_u655_o}));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0000001110011111),
.INIT_LUT1(16'b1111111110011111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u661 (
.a({\FM_Display/frac_digit [0],\FM_Display/frac_digit [0]}),
.b({\FM_Display/frac_digit [1],\FM_Display/frac_digit [1]}),
.c({\FM_Display/frac_digit [2],\FM_Display/frac_digit [2]}),
.d({\FM_Display/frac_digit [3],\FM_Display/frac_digit [3]}),
.mi({open_n31215,seg_pad[1]}),
.fx({open_n31220,\FM_Display/n91 [1]}));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(A*(~(B)*C*~(D)+B*C*~(D)+~(B)*~(C)*D)))"),
//.LUTF1("(~C*~A*~(D@B))"),
//.LUTG0("(1*~(A*(~(B)*C*~(D)+B*C*~(D)+~(B)*~(C)*D)))"),
//.LUTG1("(~C*~A*~(D@B))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000010000000001),
.INIT_LUTG0(16'b1111110101011111),
.INIT_LUTG1(16'b0000010000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u665|_al_u494 (
.a({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_channel_NO }),
.b({\FM_Display/channel_NO [0],\FM_Display/channel_NO [1]}),
.c({\FM_Display/channel_NO [1],\FM_Display/channel_NO [2]}),
.d({\FM_Display/channel_NO [3],\FM_Display/channel_NO [3]}),
.e({open_n31225,\FM_Display/channel_NO [4]}),
.f({_al_u665_o,_al_u494_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~A*~(C*~B)))"),
//.LUTF1("(~(~C*B)*~(~D*A))"),
//.LUTG0("(~D*~(~A*~(C*~B)))"),
//.LUTG1("(~(~C*B)*~(~D*A))"),
.INIT_LUTF0(16'b0000000010111010),
.INIT_LUTF1(16'b1111001101010001),
.INIT_LUTG0(16'b0000000010111010),
.INIT_LUTG1(16'b1111001101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u666|_al_u499 (
.a({_al_u665_o,_al_u497_o}),
.b({\FM_Display/lt2/o_3_lutinv ,_al_u498_o}),
.c({seg_pad[1],\FM_Display/channel_NO [2]}),
.d({\FM_Display/channel_NO [2],\FM_Display/channel_NO [4]}),
.f({_al_u666_o,_al_u499_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1010101011110000),
.INIT_LUTF1(16'b1011111111000011),
.INIT_LUTG0(16'b0011001100110000),
.INIT_LUTG1(16'b1011111111000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u667|_al_u551 (
.a({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_channel_NO }),
.b({\FM_Display/channel_NO [0],seg_pad[6]}),
.c({\FM_Display/channel_NO [1],\FM_Display/channel_NO [1]}),
.d(\FM_Display/channel_NO [3:2]),
.e({open_n31272,\FM_Display/channel_NO [4]}),
.f({_al_u667_o,_al_u551_o}));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*~A)"),
//.LUT1("(~(C*~B)*~(A)*~(D)+~(C*~B)*A*~(D)+~(~(C*~B))*A*D+~(C*~B)*A*D)"),
.INIT_LUT0(16'b0000000001000000),
.INIT_LUT1(16'b1010101011001111),
.MODE("LOGIC"))
\_al_u668|_al_u495 (
.a({_al_u666_o,\FM_Display/channel_NO [0]}),
.b({_al_u667_o,\FM_Display/channel_NO [1]}),
.c({\FM_Display/channel_NO [2],\FM_Display/channel_NO [2]}),
.d(\FM_Display/channel_NO [4:3]),
.f({\FM_Display/n86 [1],_al_u495_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~D*(A*~(B)*~(C)+~(A)*B*~(C)+A*B*~(C)+A*B*C))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~D*(A*~(B)*~(C)+~(A)*B*~(C)+A*B*~(C)+A*B*C))"),
.INIT_LUTF0(16'b0000001101101101),
.INIT_LUTF1(16'b0000000010001110),
.INIT_LUTG0(16'b1111111101101101),
.INIT_LUTG1(16'b0000000010001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u672|_al_u571 (
.a({\FM_Display/frac_digit [0],\FM_Display/single_digit [0]}),
.b({\FM_Display/frac_digit [1],\FM_Display/single_digit [1]}),
.c({\FM_Display/frac_digit [2],\FM_Display/single_digit [2]}),
.d({\FM_Display/frac_digit [3],\FM_Display/single_digit [3]}),
.e({open_n31315,seg_pad[3]}),
.f({_al_u672_o,\FM_Display/n93 [3]}));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*~B*~(~0*C)))"),
//.LUT1("(~A*~(D*~B*~(~1*C)))"),
.INIT_LUT0(16'b0101010001010101),
.INIT_LUT1(16'b0100010001010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u673 (
.a({_al_u671_o,_al_u671_o}),
.b({_al_u672_o,_al_u672_o}),
.c({_al_u638_o,_al_u638_o}),
.d({_al_u639_o,_al_u639_o}),
.mi({open_n31348,seg_pad[5]}),
.fx({open_n31353,_al_u673_o}));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*B*C*~(D)*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*B*C*~(D)*1)"),
.INIT_LUT0(16'b1111110010001110),
.INIT_LUT1(16'b0000000010001110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u675 (
.a({\FM_Display/percentage_digit [0],\FM_Display/percentage_digit [0]}),
.b({\FM_Display/percentage_digit [1],\FM_Display/percentage_digit [1]}),
.c({\FM_Display/percentage_digit [2],\FM_Display/percentage_digit [2]}),
.d({\FM_Display/percentage_digit [3],\FM_Display/percentage_digit [3]}),
.mi({open_n31368,seg_pad[5]}),
.fx({open_n31373,_al_u675_o}));
EG_PHY_MSLICE #(
//.LUT0("~(~D*~((~B*A))*~(C)+~D*(~B*A)*~(C)+~(~D)*(~B*A)*C+~D*(~B*A)*C)"),
.INIT_LUT0(16'b1101111111010000),
.MODE("LOGIC"))
_al_u677 (
.a({open_n31376,_al_u673_o}),
.b({open_n31377,_al_u676_o}),
.c({open_n31378,\FM_Display/n89 }),
.d({open_n31381,seg_pad[5]}),
.f({open_n31395,\FM_Display/n114 [5]}));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*A)"),
//.LUTF1("(~A*(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B))"),
//.LUTG0("(~D*C*~B*A)"),
//.LUTG1("(~A*(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B))"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0100010100000001),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b0100010100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u679|_al_u641 (
.a({_al_u678_o,\FM_Display/n89 }),
.b({\FM_Display/lt2/o_3_lutinv ,_al_u556_o}),
.c({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_freq [0]}),
.d({seg_pad[5],\FM_Display/ctrl_freq [1]}),
.f({_al_u679_o,_al_u641_o}));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
.INIT_LUT0(16'b0011111100000011),
.INIT_LUT1(16'b0101000000011111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u680 (
.a({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_channel_NO }),
.b({\FM_Display/channel_NO [0],\FM_Display/channel_NO [0]}),
.c({\FM_Display/channel_NO [1],\FM_Display/channel_NO [1]}),
.d({\FM_Display/channel_NO [2],\FM_Display/channel_NO [2]}),
.mi({open_n31437,\FM_Display/channel_NO [3]}),
.fx({open_n31442,_al_u680_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTG0("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
.INIT_LUTF0(16'b0010001000000010),
.INIT_LUTF1(16'b1000110010101110),
.INIT_LUTG0(16'b0010101000001010),
.INIT_LUTG1(16'b1000110010101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u684|_al_u629 (
.a({\Interconncet/SlaveMUX/hsel_reg [4],_al_u302_o}),
.b(\Interconncet/SlaveMUX/hsel_reg [5:4]),
.c({RAMCODE_RDATA[0],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMDATA_RDATA[0],RAMCODE_RDATA[1]}),
.e({open_n31447,RAMDATA_RDATA[1]}),
.f({_al_u684_o,_al_u629_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b1010000010001000),
.INIT_LUTF1(16'b0011000000111111),
.INIT_LUTG0(16'b1010000010001000),
.INIT_LUTG1(16'b0011000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u686|_al_u703 (
.a({open_n31468,_al_u702_o}),
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_008 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_010 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_010 }),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_008 ,\SPI_TX/FIFO_SPI/rp [4]}),
.f({_al_u686_o,_al_u703_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b1010000010001000),
.INIT_LUTF1(16'b0011000000111111),
.INIT_LUTG0(16'b1010000010001000),
.INIT_LUTG1(16'b0011000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u687|_al_u719 (
.a({open_n31493,_al_u397_o}),
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_006 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_024 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_024 }),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_006 ,\SPI_TX/FIFO_SPI/rp [4]}),
.f({_al_u687_o,_al_u719_o}));
EG_PHY_MSLICE #(
//.LUT0("(~0*~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(~1*~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUT0(16'b0000001100000101),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u688 (
.a({_al_u686_o,_al_u686_o}),
.b({_al_u687_o,_al_u687_o}),
.c({\SPI_TX/counter [0],\SPI_TX/counter [0]}),
.d({\SPI_TX/counter [1],\SPI_TX/counter [1]}),
.mi({open_n31530,\SPI_TX/counter [2]}),
.fx({open_n31535,_al_u688_o}));
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTF1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b0011000000111111),
.INIT_LUTF1(16'b0011000000111111),
.INIT_LUTG0(16'b0011000000111111),
.INIT_LUTG1(16'b0011000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u689|_al_u710 (
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_001 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_016 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/rp [4]}),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_001 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_016 }),
.f({_al_u689_o,_al_u710_o}));
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTF1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b0011000000111111),
.INIT_LUTF1(16'b0011000000111111),
.INIT_LUTG0(16'b0011000000111111),
.INIT_LUTG1(16'b0011000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u690|_al_u723 (
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_003 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_019 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/rp [4]}),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_003 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_019 }),
.f({_al_u690_o,_al_u723_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~0*D*C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~A*~(~1*D*C*B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0101010101010101),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u691|_al_u718 (
.a({open_n31590,\SPI_TX/sel1/B24 }),
.b({open_n31591,_al_u645_o}),
.c({\SPI_TX/counter [2],_al_u653_o}),
.d({\SPI_TX/counter [0],_al_u716_o}),
.e({open_n31594,_al_u717_o}),
.f({_al_u691_o,_al_u718_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(B*(C*~(D)*~(0)+C*D*~(0)+~(C)*D*0+C*D*0)))"),
//.LUTF1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(~A*~(B*(C*~(D)*~(1)+C*D*~(1)+~(C)*D*1+C*D*1)))"),
//.LUTG1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b0001010100010101),
.INIT_LUTF1(16'b0101000000110000),
.INIT_LUTG0(16'b0001000101010101),
.INIT_LUTG1(16'b0101000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u692|_al_u707 (
.a({_al_u689_o,_al_u706_o}),
.b({_al_u690_o,_al_u652_o}),
.c({_al_u691_o,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_000 }),
.d({\SPI_TX/counter [1],\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_000 }),
.e({open_n31617,\SPI_TX/FIFO_SPI/rp [4]}),
.f({_al_u692_o,_al_u707_o}));
EG_PHY_MSLICE #(
//.LUT0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUT1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUT0(16'b1010000010001000),
.INIT_LUT1(16'b0011000000111111),
.MODE("LOGIC"))
\_al_u693|_al_u697 (
.a({open_n31638,_al_u696_o}),
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_005 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_004 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_004 }),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_005 ,\SPI_TX/FIFO_SPI/rp [4]}),
.f({_al_u693_o,_al_u697_o}));
EG_PHY_MSLICE #(
//.LUT0("(D*(~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0))"),
//.LUT1("(D*(~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1))"),
.INIT_LUT0(16'b0101000000000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u695 (
.a({_al_u693_o,_al_u693_o}),
.b({_al_u694_o,_al_u694_o}),
.c({\SPI_TX/counter [0],\SPI_TX/counter [0]}),
.d({\SPI_TX/counter [1],\SPI_TX/counter [1]}),
.mi({open_n31671,\SPI_TX/counter [2]}),
.fx({open_n31676,_al_u695_o}));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~D*~C*~B*~A))"),
//.LUT1("(1*~(~D*~C*~B*~A))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111111111111110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u698 (
.a({_al_u688_o,_al_u688_o}),
.b({_al_u692_o,_al_u692_o}),
.c({_al_u695_o,_al_u695_o}),
.d({_al_u697_o,_al_u697_o}),
.mi({open_n31691,_al_u654_o}),
.fx({open_n31696,_al_u698_o}));
EG_PHY_MSLICE #(
//.LUT0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUT1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUT0(16'b0011000000111111),
.INIT_LUT1(16'b0011000000111111),
.MODE("LOGIC"))
\_al_u699|_al_u700 (
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_009 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_014 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/rp [4]}),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_009 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_014 }),
.f({_al_u699_o,_al_u700_o}));
EG_PHY_PAD #(
//.LOCATION("B15"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u7 (
.do({open_n31722,open_n31723,open_n31724,LED_pad[3]}),
.opad(LED[1])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(0*~(D*B)))"),
//.LUTF1("(C*B*~D)"),
//.LUTG0("(C*~A*~(1*~(D*B)))"),
//.LUTG1("(C*B*~D)"),
.INIT_LUTF0(16'b0101000001010000),
.INIT_LUTF1(16'b0000000011000000),
.INIT_LUTG0(16'b0100000000000000),
.INIT_LUTG1(16'b0000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u702|_al_u712 (
.a({open_n31738,_al_u698_o}),
.b({\SPI_TX/counter [1],_al_u705_o}),
.c({\SPI_TX/counter [2],_al_u707_o}),
.d({\SPI_TX/counter [0],_al_u711_o}),
.e({open_n31741,_al_u653_o}),
.f({_al_u702_o,_al_u712_o}));
EG_PHY_LSLICE #(
//.LUTF0("(D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*~(B)*C*0+~(A)*B*C*0))"),
//.LUTF1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG0("(D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*~(B)*C*1+~(A)*B*C*1))"),
//.LUTG1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b1010000010001000),
.INIT_LUTG0(16'b0101000000000000),
.INIT_LUTG1(16'b1010000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u704|_al_u701 (
.a({_al_u647_o,_al_u699_o}),
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_015 ,_al_u700_o}),
.c({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_015 ,\SPI_TX/counter [0]}),
.d({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/counter [1]}),
.e({open_n31764,\SPI_TX/counter [2]}),
.f({_al_u704_o,_al_u701_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~0*A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~1*A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b1010000010001000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u705|_al_u709 (
.a({open_n31785,_al_u691_o}),
.b({_al_u703_o,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_011 }),
.c({_al_u704_o,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_011 }),
.d({_al_u701_o,\SPI_TX/FIFO_SPI/rp [4]}),
.e({open_n31788,\SPI_TX/counter [1]}),
.f({_al_u705_o,_al_u709_o}));
EG_PHY_MSLICE #(
//.LUT0("(B*A*(C*~(D)*~(0)+C*D*~(0)+~(C)*D*0+C*D*0))"),
//.LUT1("(B*A*(C*~(D)*~(1)+C*D*~(1)+~(C)*D*1+C*D*1))"),
.INIT_LUT0(16'b1000000010000000),
.INIT_LUT1(16'b1000100000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u706 (
.a({_al_u654_o,_al_u654_o}),
.b({_al_u647_o,_al_u647_o}),
.c({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_007 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_007 }),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_007 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_007 }),
.mi({open_n31821,\SPI_TX/FIFO_SPI/rp [4]}),
.fx({open_n31826,_al_u706_o}));
EG_PHY_MSLICE #(
//.LUT0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUT1("(~B*~A*~(~D*C))"),
.INIT_LUT0(16'b0011000000111111),
.INIT_LUT1(16'b0001000100000001),
.MODE("LOGIC"))
\_al_u711|_al_u694 (
.a({_al_u708_o,open_n31829}),
.b({_al_u709_o,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_002 }),
.c({_al_u397_o,\SPI_TX/FIFO_SPI/rp [4]}),
.d({_al_u710_o,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_002 }),
.f({_al_u711_o,_al_u694_o}));
// ../rtl/topmodule/cortexm0ds_logic.v(18616)
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~D)"),
//.LUT1("(C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000110000),
.INIT_LUT1(16'b0000000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u713|u_logic/Woiax6_reg (
.b({_al_u655_o,\u_logic/_al_u2104_o }),
.c({MSI_SDATA_pad,\u_logic/_al_u2411_o }),
.clk(clk_pad),
.d({_al_u651_o,\u_logic/_al_u2351_o }),
.sr(cpuresetn),
.f({\SPI_TX/sel1/B0 ,open_n31865}),
.q({open_n31869,\u_logic/Woiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18616)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0011000000111111),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0011000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u714|_al_u647 (
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_023 ,\SPI_TX/counter [1]}),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/counter [2]}),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_023 ,\SPI_TX/counter [0]}),
.f({_al_u714_o,_al_u647_o}));
EG_PHY_MSLICE #(
//.LUT0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0011000000111111),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\_al_u715|_al_u725 (
.a({_al_u645_o,open_n31896}),
.b({_al_u646_o,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_022 }),
.c({_al_u647_o,\SPI_TX/FIFO_SPI/rp [4]}),
.d({_al_u714_o,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_022 }),
.f({\SPI_TX/sel1/B24 ,_al_u725_o}));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~D)"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0000000000000011),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\_al_u716|_al_u397 (
.b({\SPI_TX/counter [1],\SPI_TX/counter [1]}),
.c({\SPI_TX/counter [2],\SPI_TX/counter [2]}),
.d({\SPI_TX/counter [0],\SPI_TX/counter [0]}),
.f({_al_u716_o,_al_u397_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b1010000010001000),
.INIT_LUTF1(16'b0011000000111111),
.INIT_LUTG0(16'b1010000010001000),
.INIT_LUTG1(16'b0011000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u717|_al_u708 (
.a({open_n31939,_al_u696_o}),
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_013 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_012 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_012 }),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_013 ,\SPI_TX/FIFO_SPI/rp [4]}),
.f({_al_u717_o,_al_u708_o}));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(B*(C*~(D)*~(0)+C*D*~(0)+~(C)*D*0+C*D*0)))"),
//.LUT1("(~A*~(B*(C*~(D)*~(1)+C*D*~(1)+~(C)*D*1+C*D*1)))"),
.INIT_LUT0(16'b0001010100010101),
.INIT_LUT1(16'b0001000101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u720 (
.a({_al_u719_o,_al_u719_o}),
.b({_al_u716_o,_al_u716_o}),
.c({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 }),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 }),
.mi({open_n31976,\SPI_TX/FIFO_SPI/rp [4]}),
.fx({open_n31981,_al_u720_o}));
EG_PHY_LSLICE #(
//.LUTF0("(D*(~(A)*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(D*(~(A)*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+~(A)*~(B)*C*1+A*~(B)*C*1))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000010100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u721|_al_u727 (
.a({open_n31984,_al_u725_o}),
.b({open_n31985,_al_u726_o}),
.c(\SPI_TX/counter [1:0]),
.d({_al_u691_o,\SPI_TX/counter [1]}),
.e({open_n31988,\SPI_TX/counter [2]}),
.f({_al_u721_o,_al_u727_o}));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~D)"),
//.LUT1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUT0(16'b0000000000110000),
.INIT_LUT1(16'b0011000000111111),
.MODE("LOGIC"))
\_al_u722|_al_u696 (
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_020 ,\SPI_TX/counter [1]}),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/counter [2]}),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_020 ,\SPI_TX/counter [0]}),
.f({_al_u722_o,_al_u696_o}));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*~C*B*A))"),
//.LUTF1("(~(~C*B)*~(~D*A))"),
//.LUTG0("(1*~(~D*~C*B*A))"),
//.LUTG1("(~(~C*B)*~(~D*A))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1111001101010001),
.INIT_LUTG0(16'b1111111111110111),
.INIT_LUTG1(16'b1111001101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u724|_al_u729 (
.a({_al_u721_o,_al_u720_o}),
.b({_al_u696_o,_al_u724_o}),
.c({_al_u722_o,_al_u727_o}),
.d({_al_u723_o,_al_u728_o}),
.e({open_n32033,_al_u646_o}),
.f({_al_u724_o,_al_u729_o}));
EG_PHY_MSLICE #(
//.LUT0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUT1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUT0(16'b1010000010001000),
.INIT_LUT1(16'b0011000000111111),
.MODE("LOGIC"))
\_al_u726|_al_u728 (
.a({open_n32054,_al_u702_o}),
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_017 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_018 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_018 }),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_017 ,\SPI_TX/FIFO_SPI/rp [4]}),
.f({_al_u726_o,_al_u728_o}));
EG_PHY_PAD #(
//.LOCATION("B14"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u8 (
.do({open_n32076,open_n32077,open_n32078,LED_pad[3]}),
.opad(LED[0])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_PAD #(
//.LOCATION("P9"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u9 (
.do({open_n32093,open_n32094,open_n32095,MSI_CS_pad}),
.opad(MSI_CS)); // ../rtl/topmodule/CortexM0_SoC.v(16)
EG_PHY_GCLK clk_fm_ethernet_gclk_inst (
.clki(clk_fm_ethernet),
.clko(clk_fm_ethernet_gclk_net));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin (
.a({\clkuart_pwm/cnt [0],1'b0}),
.b({1'b1,open_n32109}),
.f({\clkuart_pwm/n4 [0],open_n32129}),
.fco(\clkuart_pwm/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u10|clkuart_pwm/add0/u9 (
.a(\clkuart_pwm/cnt [10:9]),
.b(2'b00),
.fci(\clkuart_pwm/add0/c9 ),
.f(\clkuart_pwm/n4 [10:9]),
.fco(\clkuart_pwm/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u12|clkuart_pwm/add0/u11 (
.a(\clkuart_pwm/cnt [12:11]),
.b(2'b00),
.fci(\clkuart_pwm/add0/c11 ),
.f(\clkuart_pwm/n4 [12:11]));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u2|clkuart_pwm/add0/u1 (
.a(\clkuart_pwm/cnt [2:1]),
.b(2'b00),
.fci(\clkuart_pwm/add0/c1 ),
.f(\clkuart_pwm/n4 [2:1]),
.fco(\clkuart_pwm/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u4|clkuart_pwm/add0/u3 (
.a(\clkuart_pwm/cnt [4:3]),
.b(2'b00),
.fci(\clkuart_pwm/add0/c3 ),
.f(\clkuart_pwm/n4 [4:3]),
.fco(\clkuart_pwm/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u6|clkuart_pwm/add0/u5 (
.a(\clkuart_pwm/cnt [6:5]),
.b(2'b00),
.fci(\clkuart_pwm/add0/c5 ),
.f(\clkuart_pwm/n4 [6:5]),
.fco(\clkuart_pwm/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u8|clkuart_pwm/add0/u7 (
.a(\clkuart_pwm/cnt [8:7]),
.b(2'b00),
.fci(\clkuart_pwm/add0/c7 ),
.f(\clkuart_pwm/n4 [8:7]),
.fco(\clkuart_pwm/add0/c9 ));
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(20)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~(~B*~A))"),
//.LUT1("(~D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011100000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/clk_uart_reg|clkuart_pwm/reg0_b2 (
.a({_al_u359_o,bps_en_rx}),
.b({_al_u360_o,bps_en_tx}),
.c({\clkuart_pwm/cnt [0],\clkuart_pwm/n4 [2]}),
.clk(clk_pad),
.d({\clkuart_pwm/cnt [1],\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q({clk_uart,\clkuart_pwm/cnt [2]})); // ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin (
.a(2'b11),
.b({\clkuart_pwm/cnt [0],open_n32285}),
.fco(\clkuart_pwm/lt0_c1 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_10|clkuart_pwm/lt0_9 (
.a(2'b00),
.b(\clkuart_pwm/cnt [10:9]),
.fci(\clkuart_pwm/lt0_c9 ),
.fco(\clkuart_pwm/lt0_c11 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_12|clkuart_pwm/lt0_11 (
.a(2'b00),
.b(\clkuart_pwm/cnt [12:11]),
.fci(\clkuart_pwm/lt0_c11 ),
.fco(\clkuart_pwm/lt0_c13 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_2|clkuart_pwm/lt0_1 (
.a(2'b00),
.b(\clkuart_pwm/cnt [2:1]),
.fci(\clkuart_pwm/lt0_c1 ),
.fco(\clkuart_pwm/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_4|clkuart_pwm/lt0_3 (
.a(2'b10),
.b(\clkuart_pwm/cnt [4:3]),
.fci(\clkuart_pwm/lt0_c3 ),
.fco(\clkuart_pwm/lt0_c5 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_6|clkuart_pwm/lt0_5 (
.a(2'b01),
.b(\clkuart_pwm/cnt [6:5]),
.fci(\clkuart_pwm/lt0_c5 ),
.fco(\clkuart_pwm/lt0_c7 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_8|clkuart_pwm/lt0_7 (
.a(2'b11),
.b(\clkuart_pwm/cnt [8:7]),
.fci(\clkuart_pwm/lt0_c7 ),
.fco(\clkuart_pwm/lt0_c9 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_cout_al_u776 (
.a({open_n32455,1'b0}),
.b({open_n32456,1'b1}),
.fci(\clkuart_pwm/lt0_c13 ),
.f({open_n32475,\clkuart_pwm/n1 }));
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~(~B*~A))"),
//.LUTF1("(~D*C*~(~B*~A))"),
//.LUTG0("(~D*C*~(~B*~A))"),
//.LUTG1("(~D*C*~(~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011100000),
.INIT_LUTF1(16'b0000000011100000),
.INIT_LUTG0(16'b0000000011100000),
.INIT_LUTG1(16'b0000000011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/reg0_b0|clkuart_pwm/reg0_b1 (
.a({bps_en_rx,bps_en_rx}),
.b({bps_en_tx,bps_en_tx}),
.c({\clkuart_pwm/n4 [0],\clkuart_pwm/n4 [1]}),
.clk(clk_pad),
.d({\clkuart_pwm/n1 ,\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q({\clkuart_pwm/cnt [0],\clkuart_pwm/cnt [1]})); // ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~(~B*~A))"),
//.LUT1("(~D*C*~(~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011100000),
.INIT_LUT1(16'b0000000011100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/reg0_b10|clkuart_pwm/reg0_b9 (
.a({bps_en_rx,bps_en_rx}),
.b({bps_en_tx,bps_en_tx}),
.c(\clkuart_pwm/n4 [10:9]),
.clk(clk_pad),
.d({\clkuart_pwm/n1 ,\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q(\clkuart_pwm/cnt [10:9])); // ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~(~B*~A))"),
//.LUTF1("(~D*C*~(~B*~A))"),
//.LUTG0("(~D*C*~(~B*~A))"),
//.LUTG1("(~D*C*~(~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011100000),
.INIT_LUTF1(16'b0000000011100000),
.INIT_LUTG0(16'b0000000011100000),
.INIT_LUTG1(16'b0000000011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/reg0_b11|clkuart_pwm/reg0_b12 (
.a({bps_en_rx,bps_en_rx}),
.b({bps_en_tx,bps_en_tx}),
.c({\clkuart_pwm/n4 [11],\clkuart_pwm/n4 [12]}),
.clk(clk_pad),
.d({\clkuart_pwm/n1 ,\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q({\clkuart_pwm/cnt [11],\clkuart_pwm/cnt [12]})); // ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~(~B*~A))"),
//.LUT1("(~D*C*~(~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011100000),
.INIT_LUT1(16'b0000000011100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/reg0_b3|clkuart_pwm/reg0_b4 (
.a({bps_en_rx,bps_en_rx}),
.b({bps_en_tx,bps_en_tx}),
.c({\clkuart_pwm/n4 [3],\clkuart_pwm/n4 [4]}),
.clk(clk_pad),
.d({\clkuart_pwm/n1 ,\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q({\clkuart_pwm/cnt [3],\clkuart_pwm/cnt [4]})); // ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~(~B*~A))"),
//.LUTF1("(~D*C*~(~B*~A))"),
//.LUTG0("(~D*C*~(~B*~A))"),
//.LUTG1("(~D*C*~(~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011100000),
.INIT_LUTF1(16'b0000000011100000),
.INIT_LUTG0(16'b0000000011100000),
.INIT_LUTG1(16'b0000000011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/reg0_b5|clkuart_pwm/reg0_b6 (
.a({bps_en_rx,bps_en_rx}),
.b({bps_en_tx,bps_en_tx}),
.c({\clkuart_pwm/n4 [5],\clkuart_pwm/n4 [6]}),
.clk(clk_pad),
.d({\clkuart_pwm/n1 ,\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q({\clkuart_pwm/cnt [5],\clkuart_pwm/cnt [6]})); // ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~(~B*~A))"),
//.LUTF1("(~D*C*~(~B*~A))"),
//.LUTG0("(~D*C*~(~B*~A))"),
//.LUTG1("(~D*C*~(~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011100000),
.INIT_LUTF1(16'b0000000011100000),
.INIT_LUTG0(16'b0000000011100000),
.INIT_LUTG1(16'b0000000011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/reg0_b7|clkuart_pwm/reg0_b8 (
.a({bps_en_rx,bps_en_rx}),
.b({bps_en_tx,bps_en_tx}),
.c({\clkuart_pwm/n4 [7],\clkuart_pwm/n4 [8]}),
.clk(clk_pad),
.d({\clkuart_pwm/n1 ,\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q({\clkuart_pwm/cnt [7],\clkuart_pwm/cnt [8]})); // ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~D*~C*~B*A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u101|ethernet_i0/_al_u84 (
.a({\ethernet_i0/_al_u83_o ,\ethernet_i0/_al_u83_o }),
.b({\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [0],\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [0]}),
.c({\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [4],\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [4]}),
.d({\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [5],\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [5]}),
.f({\ethernet_i0/miim_top_m0/miim_inst/n29 ,\ethernet_i0/miim_top_m0/miim_inst/n32 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u102|ethernet_i0/_al_u75 (
.c(\ethernet_i0/miim_top_m0/miim_control_inst/state [2:1]),
.d(\ethernet_i0/miim_top_m0/miim_control_inst/state [1:0]),
.f({\ethernet_i0/_al_u102_o ,\ethernet_i0/_al_u75_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0101001101000010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0101001101000010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u103|ethernet_i0/_al_u104 (
.a({\ethernet_i0/_al_u102_o ,\ethernet_i0/miim_top_m0/miim_control_inst/state [0]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/miim_top_m0/miim_control_inst/state [1]}),
.c({\ethernet_i0/miim_top_m0/miim_register_address [0],\ethernet_i0/miim_top_m0/miim_control_inst/state [2]}),
.d({\ethernet_i0/miim_top_m0/miim_register_address [1],\ethernet_i0/miim_top_m0/miim_register_address [2]}),
.f({\ethernet_i0/_al_u103_o ,\ethernet_i0/miim_top_m0/miim_control_inst/mux13_b2/B2_0 }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\ethernet_i0/_al_u106|ethernet_i0/_al_u140 (
.a({open_n32681,\ethernet_i0/miim_top_m0/miim_register_address [0]}),
.b({open_n32682,\ethernet_i0/miim_top_m0/miim_register_address [1]}),
.c({\ethernet_i0/miim_top_m0/miim_register_address [2],\ethernet_i0/miim_top_m0/miim_register_address [2]}),
.d({\ethernet_i0/_al_u103_o ,\ethernet_i0/miim_top_m0/miim_register_address [3]}),
.f({\ethernet_i0/_al_u106_o ,\ethernet_i0/miim_top_m0/miim_control_inst/add2/net_cout3_lutinv }));
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_LSLICE #(
//.LUTF0("(~D*(~(A)*~(B)*~(C)+~(A)*B*~(C)+A*~(B)*C))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~D*(~(A)*~(B)*~(C)+~(A)*B*~(C)+A*~(B)*C))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000100101),
.INIT_LUTF1(16'b0110101001111011),
.INIT_LUTG0(16'b0000000000100101),
.INIT_LUTG1(16'b1110101011111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/_al_u107|ethernet_i0/miim_top_m0/miim_control_inst/miim_req_o_reg (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/_al_u75_o }),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [1],\ethernet_i0/miim_top_m0/miim_control_inst/state [2]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [2],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_register_address [3],\ethernet_i0/miim_top_m0/miim_ack }),
.e({\ethernet_i0/miim_top_m0/miim_ack ,open_n32704}),
.f({\ethernet_i0/_al_u107_o ,open_n32720}),
.q({open_n32724,\ethernet_i0/miim_top_m0/miim_req })); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(C*~(B@D))"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(C*~(B@D))"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b1100000000110000),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b1100000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u143|ethernet_i0/_al_u81 (
.b({\ethernet_i0/miim_top_m0/miim_inst/state [1],\ethernet_i0/miim_top_m0/miim_inst/state [1]}),
.c({\ethernet_i0/miim_top_m0/miim_inst/state [2],\ethernet_i0/miim_top_m0/miim_inst/state [2]}),
.d({\ethernet_i0/miim_top_m0/miim_inst/state [0],\ethernet_i0/miim_top_m0/miim_inst/state [0]}),
.f({\ethernet_i0/_al_u143_o ,\ethernet_i0/_al_u81_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b1111000011110011),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1010000011111111),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u144|ethernet_i0/_al_u181 (
.a({open_n32751,\ethernet_i0/_al_u147_o }),
.b({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [0],\ethernet_i0/miim_top_m0/miim_req }),
.c({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [1],\ethernet_i0/miim_top_m0/miim_inst/state [0]}),
.d({\ethernet_i0/_al_u143_o ,\ethernet_i0/miim_top_m0/miim_inst/state [1]}),
.e({open_n32754,\ethernet_i0/miim_top_m0/miim_inst/state [2]}),
.f({\ethernet_i0/_al_u144_o ,\ethernet_i0/_al_u181_o }));
// ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*~(C*B)))"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100000001010101),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/_al_u147|ethernet_i0/miim_top_m0/miim_inst/reg0_b3 (
.a({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [0],\ethernet_i0/_al_u174_o }),
.b({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [1],\ethernet_i0/_al_u81_o }),
.c({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [2],\ethernet_i0/miim_top_m0/miim_req }),
.ce(\ethernet_i0/miim_top_m0/miim_inst/n32 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [3],\ethernet_i0/miim_top_m0/miim_ack }),
.f({\ethernet_i0/_al_u147_o ,open_n32788}),
.q({open_n32792,\ethernet_i0/miim_top_m0/miim_ack })); // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*~C*~(B*~A)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTG0("(1*~(~D*~C*~(B*~A)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000110011111011),
.INIT_LUTG0(16'b1111111111110100),
.INIT_LUTG1(16'b1000110011111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u148|ethernet_i0/_al_u161 (
.a({\ethernet_i0/_al_u147_o ,\ethernet_i0/_al_u157_o }),
.b({\ethernet_i0/_al_u143_o ,\ethernet_i0/_al_u159_o }),
.c({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [0],\ethernet_i0/_al_u160_o }),
.d({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [1],\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [4]}),
.e({open_n32795,\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [5]}),
.f({\ethernet_i0/_al_u148_o ,\ethernet_i0/_al_u161_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUT1("(D*~(C@B))"),
.INIT_LUT0(16'b0000000011001010),
.INIT_LUT1(16'b1100001100000000),
.MODE("LOGIC"))
\ethernet_i0/_al_u152|ethernet_i0/_al_u151 (
.a({open_n32816,\ethernet_i0/miim_top_m0/miim_data_write [8]}),
.b({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [0],\ethernet_i0/miim_top_m0/miim_data_write [9]}),
.c(\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [1:0]),
.d({\ethernet_i0/miim_top_m0/miim_data_write [15],\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [1]}),
.f({\ethernet_i0/miim_top_m0/miim_inst/mux2/B1_3 ,\ethernet_i0/miim_top_m0/miim_inst/mux2/B1_2 }));
EG_PHY_MSLICE #(
//.LUT0("(~0*D*C*~B*A)"),
//.LUT1("(~1*D*C*~B*A)"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/_al_u154 (
.a({\ethernet_i0/miim_top_m0/miim_data_write [8],\ethernet_i0/miim_top_m0/miim_data_write [8]}),
.b({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [0],\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [0]}),
.c({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [1],\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [1]}),
.d({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [2],\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [2]}),
.mi({open_n32849,\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [3]}),
.fx({open_n32854,\ethernet_i0/_al_u154_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTF1("(~B*~A*~(D*C))"),
//.LUTG0("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTG1("(~B*~A*~(D*C))"),
.INIT_LUTF0(16'b1100101000000000),
.INIT_LUTF1(16'b0000000100010001),
.INIT_LUTG0(16'b1100101000000000),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u155|ethernet_i0/_al_u153 (
.a({\ethernet_i0/_al_u153_o ,\ethernet_i0/miim_top_m0/miim_inst/mux2/B1_2 }),
.b({\ethernet_i0/_al_u154_o ,\ethernet_i0/miim_top_m0/miim_inst/mux2/B1_3 }),
.c({\ethernet_i0/_al_u147_o ,\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [2]}),
.d({\ethernet_i0/miim_top_m0/miim_data_write [0],\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [3]}),
.f({\ethernet_i0/_al_u155_o ,\ethernet_i0/_al_u153_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*~(C)*D*~(0)+B*~(C)*D*~(0)+~(B)*C*D*~(0)+B*C*D*~(0)+~(B)*C*~(D)*0+B*C*~(D)*0+B*~(C)*D*0+B*C*D*0))"),
//.LUTF1("(~C*~(~B*~D))"),
//.LUTG0("(A*(~(B)*~(C)*D*~(1)+B*~(C)*D*~(1)+~(B)*C*D*~(1)+B*C*D*~(1)+~(B)*C*~(D)*1+B*C*~(D)*1+B*~(C)*D*1+B*C*D*1))"),
//.LUTG1("(~C*~(~B*~D))"),
.INIT_LUTF0(16'b1010101000000000),
.INIT_LUTF1(16'b0000111100001100),
.INIT_LUTG0(16'b1000100010100000),
.INIT_LUTG1(16'b0000111100001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u156|ethernet_i0/_al_u157 (
.a({open_n32881,\ethernet_i0/_al_u156_o }),
.b({\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [1],\ethernet_i0/miim_top_m0/miim_register_address [2]}),
.c({\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [2],\ethernet_i0/miim_top_m0/miim_register_address [3]}),
.d({\ethernet_i0/miim_top_m0/miim_register_address [4],\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [0]}),
.e({open_n32884,\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [1]}),
.f({\ethernet_i0/_al_u156_o ,\ethernet_i0/_al_u157_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTG0("(C*~D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u158 (
.c({open_n32909,\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [2]}),
.d({open_n32912,\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [1]}),
.f({open_n32930,\ethernet_i0/_al_u158_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(A*(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)))"),
//.LUT1("(1*~(A*(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0111011101011111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/_al_u159 (
.a({\ethernet_i0/_al_u158_o ,\ethernet_i0/_al_u158_o }),
.b({\ethernet_i0/miim_top_m0/miim_register_address [0],\ethernet_i0/miim_top_m0/miim_register_address [0]}),
.c({\ethernet_i0/miim_top_m0/miim_register_address [1],\ethernet_i0/miim_top_m0/miim_register_address [1]}),
.d({\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [0],\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [0]}),
.mi({open_n32948,\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [3]}),
.fx({open_n32953,\ethernet_i0/_al_u159_o }));
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~(C*B))"),
//.LUT1("(C*B*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111000000),
.INIT_LUT1(16'b0100000011000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/_al_u163|ethernet_i0/miim_top_m0/miim_control_inst/reg3_b4 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/add2/net_cout3_lutinv ,open_n32956}),
.b({\ethernet_i0/_al_u102_o ,\ethernet_i0/_al_u75_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/miim_top_m0/miim_register_address [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_register_address [4],\ethernet_i0/_al_u141_o }),
.f({\ethernet_i0/_al_u163_o ,open_n32971}),
.q({open_n32975,\ethernet_i0/miim_top_m0/miim_register_address [4]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*D))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000001100110011),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\ethernet_i0/_al_u164|ethernet_i0/_al_u170 (
.b({open_n32978,\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.c({\ethernet_i0/miim_top_m0/miim_ack ,\ethernet_i0/miim_top_m0/miim_ack }),
.d({\ethernet_i0/_al_u75_o ,\ethernet_i0/miim_top_m0/miim_control_inst/state [0]}),
.f({\ethernet_i0/_al_u164_o ,\ethernet_i0/_al_u170_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u166|ethernet_i0/_al_u96 (
.c({\ethernet_i0/_al_u99_o ,\ethernet_i0/miim_top_m0/miim_control_inst/state [1]}),
.d({\ethernet_i0/_al_u163_o ,\ethernet_i0/miim_top_m0/miim_control_inst/state [0]}),
.f({\ethernet_i0/_al_u166_o ,\ethernet_i0/_al_u96_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(D)*~((~0*C))+A*~(B)*~(D)*~((~0*C))+~(A)*B*~(D)*~((~0*C))+A*B*~(D)*~((~0*C))+A*~(B)*D*~((~0*C))+~(A)*B*D*~((~0*C))+A*B*D*~((~0*C))+~(A)*~(B)*~(D)*(~0*C)+A*~(B)*~(D)*(~0*C)+~(A)*B*~(D)*(~0*C)+A*~(B)*D*(~0*C)+~(A)*B*D*(~0*C))"),
//.LUTF1("(D*~C*(B@A))"),
//.LUTG0("(~(A)*~(B)*~(D)*~((~1*C))+A*~(B)*~(D)*~((~1*C))+~(A)*B*~(D)*~((~1*C))+A*B*~(D)*~((~1*C))+A*~(B)*D*~((~1*C))+~(A)*B*D*~((~1*C))+A*B*D*~((~1*C))+~(A)*~(B)*~(D)*(~1*C)+A*~(B)*~(D)*(~1*C)+~(A)*B*~(D)*(~1*C)+A*~(B)*D*(~1*C)+~(A)*B*D*(~1*C))"),
//.LUTG1("(D*~C*(B@A))"),
.INIT_LUTF0(16'b0110111001111111),
.INIT_LUTF1(16'b0000011000000000),
.INIT_LUTG0(16'b1110111011111111),
.INIT_LUTG1(16'b0000011000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u167|ethernet_i0/_al_u98 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/miim_top_m0/miim_control_inst/state [0]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [1],\ethernet_i0/miim_top_m0/miim_control_inst/state [1]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [2],\ethernet_i0/miim_top_m0/miim_control_inst/state [2]}),
.d({\ethernet_i0/miim_top_m0/miim_ack ,\ethernet_i0/miim_top_m0/miim_register_address [1]}),
.e({open_n33029,\ethernet_i0/miim_top_m0/miim_ack }),
.f({\ethernet_i0/_al_u167_o ,\ethernet_i0/_al_u98_o }));
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~B*~A*~(~D*~C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111000001100),
.INIT_LUT1(16'b0001000100010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/_al_u168|ethernet_i0/miim_top_m0/miim_control_inst/reg5_b2 (
.a({\ethernet_i0/_al_u167_o ,\ethernet_i0/_al_u166_o }),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [3],\ethernet_i0/_al_u168_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [2],\ethernet_i0/_al_u75_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_ack ,\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [2]}),
.f({\ethernet_i0/_al_u168_o ,open_n33064}),
.q({open_n33068,\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [2]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~D*C*A))"),
//.LUTF1("(~D*~(~C*~B))"),
//.LUTG0("(B*~(~D*C*A))"),
//.LUTG1("(~D*~(~C*~B))"),
.INIT_LUTF0(16'b1100110001001100),
.INIT_LUTF1(16'b0000000011111100),
.INIT_LUTG0(16'b1100110001001100),
.INIT_LUTG1(16'b0000000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u171|ethernet_i0/_al_u189 (
.a({open_n33069,\ethernet_i0/_al_u73_o }),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [1],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [3],\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [0]}),
.d({\ethernet_i0/_al_u170_o ,\ethernet_i0/miim_top_m0/miim_ack }),
.f({\ethernet_i0/_al_u171_o ,\ethernet_i0/_al_u189_o }));
// ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(B*~(C*~A)))"),
//.LUT1("(~C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000001110011),
.INIT_LUT1(16'b0000001100001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/_al_u174|ethernet_i0/miim_top_m0/miim_inst/reg0_b0 (
.a({open_n33094,\ethernet_i0/_al_u179_o }),
.b({\ethernet_i0/_al_u143_o ,\ethernet_i0/_al_u181_o }),
.c({\ethernet_i0/miim_top_m0/miim_ack ,\ethernet_i0/_al_u85_o }),
.ce(\ethernet_i0/miim_top_m0/miim_inst/n32 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u147_o ,\ethernet_i0/miim_top_m0/miim_ack }),
.f({\ethernet_i0/_al_u174_o ,open_n33108}),
.q({open_n33112,\ethernet_i0/miim_top_m0/miim_inst/state [0]})); // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~D*(B@(C*~A))))"),
//.LUTF1("(0*~D*C*B*A)"),
//.LUTG0("(~1*~(~D*(B@(C*~A))))"),
//.LUTG1("(1*~D*C*B*A)"),
.INIT_LUTF0(16'b1111111101100011),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u176|ethernet_i0/_al_u160 (
.a({\ethernet_i0/_al_u158_o ,\ethernet_i0/miim_top_m0/miim_wr_en }),
.b({\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [0],\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [0]}),
.c({\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [3],\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [1]}),
.d({\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [4],\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [2]}),
.e({\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [5],\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [3]}),
.f({\ethernet_i0/miim_top_m0/miim_inst/n35_lutinv ,\ethernet_i0/_al_u160_o }));
// ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(~D*~C))"),
//.LUT1("(~D*~C*~(B*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010001000000),
.INIT_LUT1(16'b0000000000000111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/_al_u177|ethernet_i0/miim_top_m0/miim_inst/reg0_b2 (
.a({\ethernet_i0/miim_top_m0/miim_inst/n35_lutinv ,\ethernet_i0/_al_u177_o }),
.b({\ethernet_i0/miim_top_m0/miim_wr_en ,\ethernet_i0/_al_u174_o }),
.c(\ethernet_i0/miim_top_m0/miim_inst/state [1:0]),
.ce(\ethernet_i0/miim_top_m0/miim_inst/n32 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_inst/state [2],\ethernet_i0/miim_top_m0/miim_inst/state [2]}),
.f({\ethernet_i0/_al_u177_o ,open_n33148}),
.q({open_n33152,\ethernet_i0/miim_top_m0/miim_inst/state [2]})); // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\ethernet_i0/_al_u179|ethernet_i0/_al_u86 (
.c({\ethernet_i0/miim_top_m0/miim_wr_en ,\ethernet_i0/miim_top_m0/miim_ack }),
.d({\ethernet_i0/miim_top_m0/miim_inst/n35_lutinv ,\ethernet_i0/_al_u85_o }),
.f({\ethernet_i0/_al_u179_o ,\ethernet_i0/_al_u86_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*B*~(C)*~((~0*~D))+~(A)*~(B)*C*~((~0*~D))+A*~(B)*C*~((~0*~D))+A*B*~(C)*(~0*~D)+A*~(B)*C*(~0*~D))"),
//.LUT1("(A*B*~(C)*~((~1*~D))+~(A)*~(B)*C*~((~1*~D))+A*~(B)*C*~((~1*~D))+A*B*~(C)*(~1*~D)+A*~(B)*C*(~1*~D))"),
.INIT_LUT0(16'b0011100000101000),
.INIT_LUT1(16'b0011100000111000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/_al_u184 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/miim_top_m0/miim_control_inst/state [0]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [1],\ethernet_i0/miim_top_m0/miim_control_inst/state [1]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [2],\ethernet_i0/miim_top_m0/miim_control_inst/state [2]}),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [2],\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [2]}),
.mi({open_n33189,\ethernet_i0/miim_top_m0/miim_ack }),
.fx({open_n33194,\ethernet_i0/miim_top_m0/miim_control_inst/mux11_b2/B2_1 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~((~0*D))+A*B*~(C)*~((~0*D))+~(A)*~(B)*C*~((~0*D))+A*~(B)*C*~((~0*D))+~(A)*B*C*~((~0*D))+A*B*C*~((~0*D))+~(A)*~(B)*~(C)*(~0*D)+A*B*~(C)*(~0*D)+A*~(B)*C*(~0*D)+~(A)*B*C*(~0*D)+A*B*C*(~0*D))"),
//.LUT1("(~(A)*~(B)*~(C)*~((~1*D))+A*B*~(C)*~((~1*D))+~(A)*~(B)*C*~((~1*D))+A*~(B)*C*~((~1*D))+~(A)*B*C*~((~1*D))+A*B*C*~((~1*D))+~(A)*~(B)*~(C)*(~1*D)+A*B*~(C)*(~1*D)+A*~(B)*C*(~1*D)+~(A)*B*C*(~1*D)+A*B*C*(~1*D))"),
.INIT_LUT0(16'b1110100111111001),
.INIT_LUT1(16'b1111100111111001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/_al_u186 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/miim_top_m0/miim_control_inst/state [0]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [1],\ethernet_i0/miim_top_m0/miim_control_inst/state [1]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [2],\ethernet_i0/miim_top_m0/miim_control_inst/state [2]}),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [1],\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [1]}),
.mi({open_n33209,\ethernet_i0/miim_top_m0/miim_ack }),
.fx({open_n33214,\ethernet_i0/_al_u186_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(C*~(B*~(D*A)))"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b1011000000110000),
.MODE("LOGIC"))
\ethernet_i0/_al_u188|ethernet_i0/_al_u99 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/add2/net_cout3_lutinv ,open_n33217}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/miim_top_m0/miim_control_inst/state [2]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [1],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.d({\ethernet_i0/miim_top_m0/miim_register_address [4],\ethernet_i0/miim_top_m0/miim_control_inst/state [1]}),
.f({\ethernet_i0/_al_u188_o ,\ethernet_i0/_al_u99_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~D*~(~A*~(0*~C))))"),
//.LUT1("(B*~(~D*~(~A*~(1*~C))))"),
.INIT_LUT0(16'b1100110001000100),
.INIT_LUT1(16'b1100110001000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/_al_u190 (
.a({\ethernet_i0/_al_u188_o ,\ethernet_i0/_al_u188_o }),
.b({\ethernet_i0/_al_u189_o ,\ethernet_i0/_al_u189_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/miim_top_m0/miim_control_inst/state [0]}),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/state [2],\ethernet_i0/miim_top_m0/miim_control_inst/state [2]}),
.mi({open_n33250,\ethernet_i0/miim_top_m0/miim_ack }),
.fx({open_n33255,\ethernet_i0/_al_u190_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~A*~(~D*B))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~C*~A*~(~D*B))"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000010100000001),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u191|ethernet_i0/_al_u192 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/_al_u191_o }),
.b(\ethernet_i0/miim_top_m0/miim_control_inst/state [1:0]),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [2],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/reset_wait_counter ,\ethernet_i0/miim_top_m0/miim_ack }),
.f({\ethernet_i0/_al_u191_o ,\ethernet_i0/_al_u192_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17627)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/_al_u194|u_logic/Dorpw6_reg (
.a({open_n33282,\u_logic/Kmqow6 }),
.b({open_n33283,\u_logic/Eqqow6 }),
.c({open_n33284,\u_logic/vis_r6_o[9] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({rgmii_rxc_pad,\u_logic/vis_r7_o[9] }),
.mi({open_n33295,\u_logic/Drkiu6 }),
.f({\ethernet_i0/util_gmii_to_rgmii_m0/n0 ,\u_logic/_al_u571_o }),
.q({open_n33300,\u_logic/vis_r1_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(17627)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("F3"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("BI"),
.TSMUX("INV"))
\ethernet_i0/_al_u72 (
.do({open_n33302,open_n33303,open_n33304,\ethernet_i0/miim_top_m0/miim_inst/n6 }),
.ts(\ethernet_i0/miim_top_m0/miim_inst/n7 ),
.bpad(e_mdio)); // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(102)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/_al_u74|ethernet_i0/miim_top_m0/miim_control_inst/reset_wait_counter_reg (
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [3],open_n33321}),
.ce(\ethernet_i0/miim_top_m0/miim_control_inst/mux12_sel_is_0_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u73_o ,\ethernet_i0/miim_top_m0/miim_control_inst/reset_wait_counter }),
.f({\ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ,open_n33339}),
.q({open_n33343,\ethernet_i0/miim_top_m0/miim_control_inst/reset_wait_counter })); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~D)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b0000000000110000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\ethernet_i0/_al_u76|ethernet_i0/_al_u73 (
.b(\ethernet_i0/miim_top_m0/miim_control_inst/state [2:1]),
.c(\ethernet_i0/miim_top_m0/miim_control_inst/state [3:2]),
.d({\ethernet_i0/_al_u75_o ,\ethernet_i0/miim_top_m0/miim_control_inst/state [0]}),
.f({\ethernet_i0/miim_top_m0/miim_control_inst/mux12_sel_is_0_o ,\ethernet_i0/_al_u73_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
.INIT_LUT0(16'b0000000011110000),
.MODE("LOGIC"))
\ethernet_i0/_al_u82 (
.c({open_n33370,\ethernet_i0/miim_top_m0/miim_inst/n2 }),
.d({open_n33373,\ethernet_i0/_al_u81_o }),
.f({open_n33387,e_mdc_pad}));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTG0("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTG0(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u83 (
.b({open_n33395,\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [2]}),
.c({open_n33396,\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [3]}),
.d({open_n33399,\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [1]}),
.f({open_n33417,\ethernet_i0/_al_u83_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*(~C*~B)*~(D)+A*(~C*~B)*~(D)+~(A)*~((~C*~B))*D+A*~((~C*~B))*D+A*(~C*~B)*D)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~(A)*(~C*~B)*~(D)+A*(~C*~B)*~(D)+~(A)*~((~C*~B))*D+A*~((~C*~B))*D+A*(~C*~B)*D)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b1111111000000011),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1111111000000011),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/_al_u85|ethernet_i0/_al_u87 (
.a({open_n33423,\ethernet_i0/miim_top_m0/miim_inst/state [0]}),
.b({\ethernet_i0/miim_top_m0/miim_inst/state [1],\ethernet_i0/miim_top_m0/miim_inst/state [1]}),
.c({\ethernet_i0/miim_top_m0/miim_inst/state [2],\ethernet_i0/miim_top_m0/miim_inst/state [2]}),
.d({\ethernet_i0/miim_top_m0/miim_inst/state [0],\ethernet_i0/miim_top_m0/miim_ack }),
.f({\ethernet_i0/_al_u85_o ,\ethernet_i0/_al_u87_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*(D@(C*A)))"),
//.LUT1("~(C@(B*D))"),
.INIT_LUT0(16'b0100110010000000),
.INIT_LUT1(16'b1100001100001111),
.MODE("LOGIC"))
\ethernet_i0/_al_u97|ethernet_i0/_al_u141 (
.a({open_n33448,\ethernet_i0/miim_top_m0/miim_control_inst/add2/net_cout3_lutinv }),
.b({\ethernet_i0/miim_top_m0/miim_register_address [0],\ethernet_i0/_al_u99_o }),
.c({\ethernet_i0/miim_top_m0/miim_register_address [1],\ethernet_i0/_al_u96_o }),
.d({\ethernet_i0/_al_u96_o ,\ethernet_i0/miim_top_m0/miim_register_address [4]}),
.f({\ethernet_i0/_al_u97_o ,\ethernet_i0/_al_u141_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u101|ethernet_i0/mac_test0/_al_u100 (
.c({\ethernet_i0/mac_test0/_al_u100_o ,\ethernet_i0/mac_test0/count_B [5]}),
.d({\ethernet_i0/mac_test0/n49_1 ,\ethernet_i0/mac_test0/count_B [4]}),
.f({\ethernet_i0/mac_test0/n49_1_1_0 ,\ethernet_i0/mac_test0/_al_u100_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u102|ethernet_i0/mac_test0/_al_u99 (
.b({\ethernet_i0/mac_test0/count_B [4],\ethernet_i0/mac_test0/count_B [4]}),
.c({\ethernet_i0/mac_test0/count_B [5],\ethernet_i0/mac_test0/count_B [5]}),
.d({\ethernet_i0/mac_test0/n49_1 ,\ethernet_i0/mac_test0/n49_1 }),
.f({\ethernet_i0/mac_test0/n49_1_0_1 ,\ethernet_i0/mac_test0/n49_1_1_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u104|ethernet_i0/mac_test0/_al_u97 (
.b({open_n33521,\ethernet_i0/mac_test0/bufferA_B }),
.c({\ethernet_i0/mac_test0/count_B [6],\ethernet_i0/mac_test0/count_B [10]}),
.d({\ethernet_i0/mac_test0/n49 ,\ethernet_i0/mac_test0/_al_u96_o }),
.f({\ethernet_i0/mac_test0/n49_0 ,\ethernet_i0/mac_test0/n49 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u105|ethernet_i0/mac_test0/_al_u103 (
.b({\ethernet_i0/mac_test0/count_B [4],\ethernet_i0/mac_test0/count_B [4]}),
.c({\ethernet_i0/mac_test0/count_B [5],\ethernet_i0/mac_test0/count_B [5]}),
.d({\ethernet_i0/mac_test0/n49_0 ,\ethernet_i0/mac_test0/n49_1 }),
.f({\ethernet_i0/mac_test0/n49_0_1_1 ,\ethernet_i0/mac_test0/n49_1_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u106 (
.c({open_n33576,\ethernet_i0/mac_test0/_al_u100_o }),
.d({open_n33579,\ethernet_i0/mac_test0/n49_0 }),
.f({open_n33593,\ethernet_i0/mac_test0/n49_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u107|ethernet_i0/mac_test0/_al_u108 (
.b({\ethernet_i0/mac_test0/count_B [4],\ethernet_i0/mac_test0/count_B [4]}),
.c({\ethernet_i0/mac_test0/count_B [5],\ethernet_i0/mac_test0/count_B [5]}),
.d({\ethernet_i0/mac_test0/n49_0 ,\ethernet_i0/mac_test0/n49_0 }),
.f({\ethernet_i0/mac_test0/n49_0_0_1 ,\ethernet_i0/mac_test0/n49_0_0_0 }));
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(D*~(C*B*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0111111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/mac_test0/_al_u110|FM_HW/FM_Demodulation/reg7_b7 (
.a({\ethernet_i0/mac_test0/n49_1 ,\ethernet_i0/mac_test0/count_B [0]}),
.b({\ethernet_i0/mac_test0/_al_u109_o ,\ethernet_i0/mac_test0/count_B [1]}),
.c({\ethernet_i0/mac_test0/_al_u100_o ,\ethernet_i0/mac_test0/count_B [2]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/count_B [3]}),
.mi({open_n33635,\FM_HW/FM_Demodulation/Qdata [7]}),
.f({\ethernet_i0/mac_test0/_al_u110_o ,\ethernet_i0/mac_test0/_al_u109_o }),
.q({open_n33640,\FM_HW/FM_Demodulation/IQdatatemp2 [7]})); // ../rtl/demodulation/FM_Demodulation.v(112)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C@D))"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000001100),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u123|ethernet_i0/mac_test0/reg7_b0 (
.b({open_n33643,\ethernet_i0/mac_test0/n59_lutinv }),
.c({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/n69_lutinv ,\ethernet_i0/mac_test0/n69_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u123_o ,open_n33657}),
.q({open_n33661,\ethernet_i0/mac_test0/j [0]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~1*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0011010111111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u124|ethernet_i0/mac_test0/_al_u219 (
.a({open_n33662,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b22/B1_0 }),
.b({open_n33663,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b22/B1_1 }),
.c({\ethernet_i0/mac_test0/j [1],\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/_al_u123_o ,\ethernet_i0/mac_test0/j [0]}),
.e({open_n33666,\ethernet_i0/mac_test0/j [1]}),
.f({\ethernet_i0/mac_test0/mux19_b0_sel_is_2_o ,\ethernet_i0/mac_test0/_al_u219_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(~0*~D*B*A))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("~(~C*~(~1*~D*B*A))"),
//.LUTG1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u141|ethernet_i0/mac_test0/reg8_b0 (
.a({open_n33687,\ethernet_i0/mac_test0/_al_u505_o }),
.b({\ethernet_i0/mac_test0/state [0],\ethernet_i0/mac_test0/_al_u142_o }),
.c({\ethernet_i0/mac_test0/state [1],\ethernet_i0/mac_test0/sel0_b0/B9 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/_al_u60_o ,\ethernet_i0/mac_test0/arp_request_req }),
.e({open_n33689,\ethernet_i0/mac_test0/udp_tx_req }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/n11_lutinv ,open_n33704}),
.q({open_n33708,\ethernet_i0/mac_test0/state [0]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u143|ethernet_i0/mac_test0/reg8_b1 (
.b({\ethernet_i0/mac_test0/almost_full_d1 ,open_n33711}),
.c({\ethernet_i0/mac_test0/mac_not_exist ,\ethernet_i0/mac_test0/mac_not_exist }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/n19_lutinv ,\ethernet_i0/mac_test0/n19_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/sel0_b8/B1 ,open_n33725}),
.q({open_n33729,\ethernet_i0/mac_test0/state [1]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u146|ethernet_i0/mac_test0/_al_u189 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_022 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_022 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u146_o ,\ethernet_i0/mac_test0/_al_u189_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.INIT_LUTF0(16'b0101010100011011),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0101010100011011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u147|ethernet_i0/mac_test0/_al_u149 (
.a({\ethernet_i0/mac_test0/_al_u146_o ,\ethernet_i0/mac_test0/_al_u148_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_023 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_023 }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.f({\ethernet_i0/mac_test0/_al_u147_o ,\ethernet_i0/mac_test0/_al_u149_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u148|ethernet_i0/mac_test0/_al_u191 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_022 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_022 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u148_o ,\ethernet_i0/mac_test0/_al_u191_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("~(~C*~D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1111111111110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u152|ethernet_i0/mac_test0/bufferA_B_reg (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_031 ,open_n33792}),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_031 ,open_n33793}),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/_al_u110_o }),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/n22 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u152_o ,open_n33811}),
.q({open_n33815,\ethernet_i0/mac_test0/bufferA_B })); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.LUTF0("~((~D*~C)*~((~B*~A))*~(0)+(~D*~C)*(~B*~A)*~(0)+~((~D*~C))*(~B*~A)*0+(~D*~C)*(~B*~A)*0)"),
//.LUTF1("~((~D*~C)*~((~B*~A))*~(0)+(~D*~C)*(~B*~A)*~(0)+~((~D*~C))*(~B*~A)*0+(~D*~C)*(~B*~A)*0)"),
//.LUTG0("~((~D*~C)*~((~B*~A))*~(1)+(~D*~C)*(~B*~A)*~(1)+~((~D*~C))*(~B*~A)*1+(~D*~C)*(~B*~A)*1)"),
//.LUTG1("~((~D*~C)*~((~B*~A))*~(1)+(~D*~C)*(~B*~A)*~(1)+~((~D*~C))*(~B*~A)*1+(~D*~C)*(~B*~A)*1)"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b1111111111110000),
.INIT_LUTG0(16'b1110111011101110),
.INIT_LUTG1(16'b1110111011101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u154|ethernet_i0/mac_test0/_al_u197 (
.a({\ethernet_i0/mac_test0/_al_u147_o ,\ethernet_i0/mac_test0/_al_u190_o }),
.b({\ethernet_i0/mac_test0/_al_u149_o ,\ethernet_i0/mac_test0/_al_u192_o }),
.c({\ethernet_i0/mac_test0/_al_u151_o ,\ethernet_i0/mac_test0/_al_u194_o }),
.d({\ethernet_i0/mac_test0/_al_u153_o ,\ethernet_i0/mac_test0/_al_u196_o }),
.e({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.f({\ethernet_i0/mac_test0/_al_u154_o ,\ethernet_i0/mac_test0/_al_u197_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u156|ethernet_i0/mac_test0/_al_u199 (
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.d({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_007 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_006 }),
.f({\ethernet_i0/mac_test0/_al_u156_o ,\ethernet_i0/mac_test0/_al_u199_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(D*~(~C*~B*A))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(D*~(~C*~B*A))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111110100000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111110100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u157|ethernet_i0/mac_test0/_al_u205 (
.a({\ethernet_i0/mac_test0/_al_u155_o ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_006 }),
.b({\ethernet_i0/mac_test0/_al_u156_o ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_006 }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/_al_u204_o }),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u157_o ,\ethernet_i0/mac_test0/_al_u205_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u159|ethernet_i0/mac_test0/_al_u202 (
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.d({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_015 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_014 }),
.f({\ethernet_i0/mac_test0/_al_u159_o ,\ethernet_i0/mac_test0/_al_u202_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~C*~B*A))"),
//.LUTF1("(~D*~(~C*~B*A))"),
//.LUTG0("(~D*~(~C*~B*A))"),
//.LUTG1("(~D*~(~C*~B*A))"),
.INIT_LUTF0(16'b0000000011111101),
.INIT_LUTF1(16'b0000000011111101),
.INIT_LUTG0(16'b0000000011111101),
.INIT_LUTG1(16'b0000000011111101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u160|ethernet_i0/mac_test0/_al_u203 (
.a({\ethernet_i0/mac_test0/_al_u158_o ,\ethernet_i0/mac_test0/_al_u201_o }),
.b({\ethernet_i0/mac_test0/_al_u159_o ,\ethernet_i0/mac_test0/_al_u202_o }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.f({\ethernet_i0/mac_test0/_al_u160_o ,\ethernet_i0/mac_test0/_al_u203_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u161|ethernet_i0/mac_test0/_al_u204 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_007 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_006 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_007 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_006 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u161_o ,\ethernet_i0/mac_test0/_al_u204_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u162|ethernet_i0/mac_test0/_al_u292 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_007 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_004 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_007 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_004 }),
.c({\ethernet_i0/mac_test0/_al_u161_o ,\ethernet_i0/mac_test0/_al_u291_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u162_o ,\ethernet_i0/mac_test0/_al_u292_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u163|ethernet_i0/mac_test0/_al_u206 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_015 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_014 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_015 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_014 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u163_o ,\ethernet_i0/mac_test0/_al_u206_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u164|ethernet_i0/mac_test0/_al_u207 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_015 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_014 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_015 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_014 }),
.c({\ethernet_i0/mac_test0/_al_u163_o ,\ethernet_i0/mac_test0/_al_u206_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u164_o ,\ethernet_i0/mac_test0/_al_u207_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0001000100010001),
.INIT_LUT1(16'b1111010100110001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u165 (
.a({\ethernet_i0/mac_test0/_al_u157_o ,\ethernet_i0/mac_test0/_al_u157_o }),
.b({\ethernet_i0/mac_test0/_al_u160_o ,\ethernet_i0/mac_test0/_al_u160_o }),
.c({\ethernet_i0/mac_test0/_al_u162_o ,\ethernet_i0/mac_test0/_al_u162_o }),
.d({\ethernet_i0/mac_test0/_al_u164_o ,\ethernet_i0/mac_test0/_al_u164_o }),
.mi({open_n34046,\ethernet_i0/mac_test0/i [6]}),
.fx({open_n34051,\ethernet_i0/mac_test0/_al_u165_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b0000110000001010),
.INIT_LUTF1(16'b0000110000001010),
.INIT_LUTG0(16'b0000110000001010),
.INIT_LUTG1(16'b0000110000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u166|ethernet_i0/mac_test0/_al_u209 (
.a({\ethernet_i0/mac_test0/_al_u154_o ,\ethernet_i0/mac_test0/_al_u197_o }),
.b({\ethernet_i0/mac_test0/_al_u165_o ,\ethernet_i0/mac_test0/_al_u208_o }),
.c({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/bufferA_B }),
.d({\ethernet_i0/mac_test0/j [1],\ethernet_i0/mac_test0/j [1]}),
.f({\ethernet_i0/mac_test0/_al_u166_o ,\ethernet_i0/mac_test0/_al_u209_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u167|ethernet_i0/mac_test0/_al_u210 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_014 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_014 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u167_o ,\ethernet_i0/mac_test0/_al_u210_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u168|ethernet_i0/mac_test0/_al_u213 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_014 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_014 }),
.c({\ethernet_i0/mac_test0/_al_u167_o ,\ethernet_i0/mac_test0/_al_u212_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b15/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b14/B1_1 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u169|ethernet_i0/mac_test0/_al_u212 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_014 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_014 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u169_o ,\ethernet_i0/mac_test0/_al_u212_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u170|ethernet_i0/mac_test0/write_end_reg (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_015 ,open_n34138}),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_015 ,open_n34139}),
.c({\ethernet_i0/mac_test0/_al_u169_o ,\ethernet_i0/mac_test0/n59_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/n69_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b15/B1_1 ,\ethernet_i0/mac_test0/n92 }),
.q({open_n34160,\ethernet_i0/mac_test0/write_end })); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTF1("(0*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG0("(1*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG1("(1*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1111111100110101),
.INIT_LUTG1(16'b1111111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u171|ethernet_i0/mac_test0/_al_u214 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b15/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b14/B1_0 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b15/B1_1 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b14/B1_1 }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.e({\ethernet_i0/mac_test0/j [1],\ethernet_i0/mac_test0/j [1]}),
.f({\ethernet_i0/mac_test0/_al_u171_o ,\ethernet_i0/mac_test0/_al_u214_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u172|ethernet_i0/mac_test0/_al_u215 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_022 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_022 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u172_o ,\ethernet_i0/mac_test0/_al_u215_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u173|ethernet_i0/mac_test0/_al_u216 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_022 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_022 }),
.c({\ethernet_i0/mac_test0/_al_u172_o ,\ethernet_i0/mac_test0/_al_u215_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b23/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b22/B1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u174|ethernet_i0/mac_test0/_al_u217 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_022 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_022 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u174_o ,\ethernet_i0/mac_test0/_al_u217_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u175|ethernet_i0/mac_test0/_al_u218 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_022 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_022 }),
.c({\ethernet_i0/mac_test0/_al_u174_o ,\ethernet_i0/mac_test0/_al_u217_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b23/B1_1 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b22/B1_1 }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("(B*(C@D))"),
//.LUTF1("(~0*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG0("(B*(C@D))"),
//.LUTG1("(~1*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011000000),
.INIT_LUTF1(16'b0011010111111111),
.INIT_LUTG0(16'b0000110011000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u176|ethernet_i0/mac_test0/reg7_b1 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b23/B1_0 ,open_n34279}),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b23/B1_1 ,\ethernet_i0/mac_test0/n59_lutinv }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/j [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/_al_u123_o }),
.e({\ethernet_i0/mac_test0/j [1],open_n34281}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u176_o ,open_n34296}),
.q({open_n34300,\ethernet_i0/mac_test0/j [1]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u177|ethernet_i0/mac_test0/_al_u220 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_006 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_006 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u177_o ,\ethernet_i0/mac_test0/_al_u220_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u178|ethernet_i0/mac_test0/_al_u221 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_006 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_006 }),
.c({\ethernet_i0/mac_test0/_al_u177_o ,\ethernet_i0/mac_test0/_al_u220_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u178_o ,\ethernet_i0/mac_test0/_al_u221_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.INIT_LUTF0(16'b0101010111111111),
.INIT_LUTF1(16'b0101010111111111),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u179|ethernet_i0/mac_test0/_al_u222 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_006 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_006 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_006 }),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.e({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u179_o ,\ethernet_i0/mac_test0/_al_u222_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u180|ethernet_i0/mac_test0/_al_u223 (
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.d({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_006 }),
.f({\ethernet_i0/mac_test0/_al_u180_o ,\ethernet_i0/mac_test0/_al_u223_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUT1("(1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111001101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u181 (
.a({\ethernet_i0/mac_test0/_al_u178_o ,\ethernet_i0/mac_test0/_al_u178_o }),
.b({\ethernet_i0/mac_test0/_al_u179_o ,\ethernet_i0/mac_test0/_al_u179_o }),
.c({\ethernet_i0/mac_test0/_al_u180_o ,\ethernet_i0/mac_test0/_al_u180_o }),
.d({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.mi({open_n34397,\ethernet_i0/mac_test0/j [0]}),
.fx({open_n34402,\ethernet_i0/mac_test0/_al_u181_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u182|ethernet_i0/mac_test0/_al_u225 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_030 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_030 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u182_o ,\ethernet_i0/mac_test0/_al_u225_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u183|ethernet_i0/mac_test0/_al_u226 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_030 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_030 }),
.c({\ethernet_i0/mac_test0/_al_u182_o ,\ethernet_i0/mac_test0/_al_u225_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u183_o ,\ethernet_i0/mac_test0/_al_u226_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.INIT_LUTF0(16'b0101010111111111),
.INIT_LUTF1(16'b0101010111111111),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u184|ethernet_i0/mac_test0/_al_u227 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_030 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_030 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_030 }),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.e({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u184_o ,\ethernet_i0/mac_test0/_al_u227_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0101010100011011),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u185|ethernet_i0/mac_test0/_al_u270 (
.a({open_n34467,\ethernet_i0/mac_test0/_al_u269_o }),
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_029 }),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_029 }),
.d({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_031 ,\ethernet_i0/mac_test0/i [5]}),
.e({open_n34470,\ethernet_i0/mac_test0/i [6]}),
.f({\ethernet_i0/mac_test0/_al_u185_o ,\ethernet_i0/mac_test0/_al_u270_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTF1("(~0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTG0("(~1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTG1("(~1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
.INIT_LUTF0(16'b1111001101010101),
.INIT_LUTF1(16'b1111001101010101),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u186|ethernet_i0/mac_test0/_al_u229 (
.a({\ethernet_i0/mac_test0/_al_u183_o ,\ethernet_i0/mac_test0/_al_u226_o }),
.b({\ethernet_i0/mac_test0/_al_u184_o ,\ethernet_i0/mac_test0/_al_u227_o }),
.c({\ethernet_i0/mac_test0/_al_u185_o ,\ethernet_i0/mac_test0/_al_u228_o }),
.d({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.e({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.f({\ethernet_i0/mac_test0/_al_u186_o ,\ethernet_i0/mac_test0/_al_u229_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~(~D*B)*~(~C*A)))"),
//.LUTF1("(0*~(~(~D*B)*~(~C*A)))"),
//.LUTG0("(1*~(~(~D*B)*~(~C*A)))"),
//.LUTG1("(1*~(~(~D*B)*~(~C*A)))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000101011001110),
.INIT_LUTG1(16'b0000101011001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u187|ethernet_i0/mac_test0/_al_u230 (
.a({\ethernet_i0/mac_test0/_al_u171_o ,\ethernet_i0/mac_test0/_al_u214_o }),
.b({\ethernet_i0/mac_test0/_al_u176_o ,\ethernet_i0/mac_test0/_al_u219_o }),
.c({\ethernet_i0/mac_test0/_al_u181_o ,\ethernet_i0/mac_test0/_al_u224_o }),
.d({\ethernet_i0/mac_test0/_al_u186_o ,\ethernet_i0/mac_test0/_al_u229_o }),
.e({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/bufferA_B }),
.f({\ethernet_i0/mac_test0/_al_u187_o ,\ethernet_i0/mac_test0/_al_u230_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUT1("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0101010100011011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u190 (
.a({\ethernet_i0/mac_test0/_al_u189_o ,\ethernet_i0/mac_test0/_al_u189_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_022 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_022 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_022 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_022 }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({open_n34547,\ethernet_i0/mac_test0/i [6]}),
.fx({open_n34552,\ethernet_i0/mac_test0/_al_u190_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUT1("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.INIT_LUT0(16'b0101010100011011),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u192 (
.a({\ethernet_i0/mac_test0/_al_u191_o ,\ethernet_i0/mac_test0/_al_u191_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_022 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_022 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_022 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_022 }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({open_n34567,\ethernet_i0/mac_test0/i [6]}),
.fx({open_n34572,\ethernet_i0/mac_test0/_al_u192_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b0101010100011011),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u195|ethernet_i0/mac_test0/_al_u233 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_030 ,\ethernet_i0/mac_test0/_al_u232_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_030 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_029 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_029 }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.e({open_n34577,\ethernet_i0/mac_test0/i [6]}),
.f({\ethernet_i0/mac_test0/_al_u195_o ,\ethernet_i0/mac_test0/_al_u233_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.INIT_LUT0(16'b0101010111111111),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u198 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_006 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_006 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_006 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_006 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_006 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_006 }),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.mi({open_n34610,\ethernet_i0/mac_test0/i [5]}),
.fx({open_n34615,\ethernet_i0/mac_test0/_al_u198_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~C*~B*A))"),
.INIT_LUT0(16'b1111110100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u200 (
.a({open_n34618,\ethernet_i0/mac_test0/_al_u198_o }),
.b({open_n34619,\ethernet_i0/mac_test0/_al_u199_o }),
.c({open_n34620,\ethernet_i0/mac_test0/i [6]}),
.d({open_n34623,\ethernet_i0/mac_test0/j [0]}),
.f({open_n34637,\ethernet_i0/mac_test0/_al_u200_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.INIT_LUT0(16'b0101010111111111),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u201 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_014 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_014 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_014 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_014 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_014 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_014 }),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.mi({open_n34655,\ethernet_i0/mac_test0/i [5]}),
.fx({open_n34660,\ethernet_i0/mac_test0/_al_u201_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0001000100010001),
.INIT_LUT1(16'b1111010100110001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u208 (
.a({\ethernet_i0/mac_test0/_al_u200_o ,\ethernet_i0/mac_test0/_al_u200_o }),
.b({\ethernet_i0/mac_test0/_al_u203_o ,\ethernet_i0/mac_test0/_al_u203_o }),
.c({\ethernet_i0/mac_test0/_al_u205_o ,\ethernet_i0/mac_test0/_al_u205_o }),
.d({\ethernet_i0/mac_test0/_al_u207_o ,\ethernet_i0/mac_test0/_al_u207_o }),
.mi({open_n34675,\ethernet_i0/mac_test0/i [6]}),
.fx({open_n34680,\ethernet_i0/mac_test0/_al_u208_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUT1("(1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111001101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u224 (
.a({\ethernet_i0/mac_test0/_al_u221_o ,\ethernet_i0/mac_test0/_al_u221_o }),
.b({\ethernet_i0/mac_test0/_al_u222_o ,\ethernet_i0/mac_test0/_al_u222_o }),
.c({\ethernet_i0/mac_test0/_al_u223_o ,\ethernet_i0/mac_test0/_al_u223_o }),
.d({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.mi({open_n34695,\ethernet_i0/mac_test0/j [0]}),
.fx({open_n34700,\ethernet_i0/mac_test0/_al_u224_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
.INIT_LUT0(16'b0000001100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u228 (
.b({open_n34705,\ethernet_i0/mac_test0/i [4]}),
.c({open_n34706,\ethernet_i0/mac_test0/i [5]}),
.d({open_n34709,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_030 }),
.f({open_n34723,\ethernet_i0/mac_test0/_al_u228_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u234|ethernet_i0/mac_test0/_al_u278 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_028 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_028 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u234_o ,\ethernet_i0/mac_test0/_al_u278_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.INIT_LUTF0(16'b0101010100011011),
.INIT_LUTF1(16'b0101010100011011),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u235|ethernet_i0/mac_test0/_al_u279 (
.a({\ethernet_i0/mac_test0/_al_u234_o ,\ethernet_i0/mac_test0/_al_u278_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_028 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_028 }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.f({\ethernet_i0/mac_test0/_al_u235_o ,\ethernet_i0/mac_test0/_al_u279_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0101010111111111),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u237|ethernet_i0/mac_test0/_al_u158 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_015 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_015 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_015 }),
.d(\ethernet_i0/mac_test0/i [5:4]),
.e({open_n34777,\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u237_o ,\ethernet_i0/mac_test0/_al_u158_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u238|ethernet_i0/mac_test0/_al_u284 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_012 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_012 }),
.c({\ethernet_i0/mac_test0/_al_u237_o ,\ethernet_i0/mac_test0/_al_u283_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b13/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b12/B1_1 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u239|ethernet_i0/mac_test0/_al_u283 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_012 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_012 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u239_o ,\ethernet_i0/mac_test0/_al_u283_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u240|ethernet_i0/mac_test0/_al_u281 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_012 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_012 }),
.c({\ethernet_i0/mac_test0/_al_u239_o ,\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b13/B1_1 ,\ethernet_i0/mac_test0/_al_u281_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUT1("(1*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111111100110101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u241 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b13/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b13/B1_0 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b13/B1_1 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b13/B1_1 }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.mi({open_n34878,\ethernet_i0/mac_test0/j [1]}),
.fx({open_n34883,\ethernet_i0/mac_test0/_al_u241_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u243|ethernet_i0/mac_test0/_al_u287 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_020 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_020 }),
.c({\ethernet_i0/mac_test0/_al_u242_o ,\ethernet_i0/mac_test0/_al_u286_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b21/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b20/B1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u244|ethernet_i0/mac_test0/_al_u288 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_020 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_020 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u244_o ,\ethernet_i0/mac_test0/_al_u288_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u245|ethernet_i0/mac_test0/_al_u289 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_020 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_020 }),
.c({\ethernet_i0/mac_test0/_al_u244_o ,\ethernet_i0/mac_test0/_al_u288_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b21/B1_1 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b20/B1_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTF1("(~0*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG0("(~1*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG1("(~1*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
.INIT_LUTF0(16'b0011010111111111),
.INIT_LUTF1(16'b0011010111111111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u246|ethernet_i0/mac_test0/_al_u290 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b21/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b20/B1_0 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b21/B1_1 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b20/B1_1 }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.e({\ethernet_i0/mac_test0/j [1],\ethernet_i0/mac_test0/j [1]}),
.f({\ethernet_i0/mac_test0/_al_u246_o ,\ethernet_i0/mac_test0/_al_u290_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0101010111111111),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u247|ethernet_i0/mac_test0/_al_u155 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_007 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_007 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_007 }),
.d(\ethernet_i0/mac_test0/i [5:4]),
.e({open_n34970,\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u247_o ,\ethernet_i0/mac_test0/_al_u155_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u248|ethernet_i0/mac_test0/_al_u291 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_004 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_004 }),
.c({\ethernet_i0/mac_test0/_al_u247_o ,\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u248_o ,\ethernet_i0/mac_test0/_al_u291_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.INIT_LUT0(16'b0101010111111111),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u249 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_005 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_005 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_005 }),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.mi({open_n35027,\ethernet_i0/mac_test0/i [5]}),
.fx({open_n35032,\ethernet_i0/mac_test0/_al_u249_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTF1("(0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTG0("(1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTG1("(1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b1111001101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u251|ethernet_i0/mac_test0/_al_u295 (
.a({\ethernet_i0/mac_test0/_al_u248_o ,\ethernet_i0/mac_test0/_al_u292_o }),
.b({\ethernet_i0/mac_test0/_al_u249_o ,\ethernet_i0/mac_test0/_al_u293_o }),
.c({\ethernet_i0/mac_test0/_al_u250_o ,\ethernet_i0/mac_test0/_al_u294_o }),
.d({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.e({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.f({\ethernet_i0/mac_test0/_al_u251_o ,\ethernet_i0/mac_test0/_al_u295_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~(~D*B)*~(C*~A)))"),
//.LUTF1("(~0*~(~(~D*B)*~(C*~A)))"),
//.LUTG0("(~1*~(~(~D*B)*~(C*~A)))"),
//.LUTG1("(~1*~(~(~D*B)*~(C*~A)))"),
.INIT_LUTF0(16'b0101000011011100),
.INIT_LUTF1(16'b0101000011011100),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u252|ethernet_i0/mac_test0/_al_u296 (
.a({\ethernet_i0/mac_test0/_al_u236_o ,\ethernet_i0/mac_test0/_al_u280_o }),
.b({\ethernet_i0/mac_test0/_al_u241_o ,\ethernet_i0/mac_test0/_al_u285_o }),
.c({\ethernet_i0/mac_test0/_al_u246_o ,\ethernet_i0/mac_test0/_al_u290_o }),
.d({\ethernet_i0/mac_test0/_al_u251_o ,\ethernet_i0/mac_test0/_al_u295_o }),
.e({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/bufferA_B }),
.f({\ethernet_i0/mac_test0/_al_u252_o ,\ethernet_i0/mac_test0/_al_u296_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u253|ethernet_i0/mac_test0/_al_u297 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_004 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_004 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u253_o ,\ethernet_i0/mac_test0/_al_u297_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u254|ethernet_i0/mac_test0/_al_u298 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_004 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_004 }),
.c({\ethernet_i0/mac_test0/_al_u253_o ,\ethernet_i0/mac_test0/_al_u297_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u254_o ,\ethernet_i0/mac_test0/_al_u298_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u255|ethernet_i0/mac_test0/_al_u299 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_004 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_004 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u255_o ,\ethernet_i0/mac_test0/_al_u299_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u256|ethernet_i0/mac_test0/_al_u300 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_004 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_004 }),
.c({\ethernet_i0/mac_test0/_al_u255_o ,\ethernet_i0/mac_test0/_al_u299_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u256_o ,\ethernet_i0/mac_test0/_al_u300_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTF1("(D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTG0("(D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTG1("(D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
.INIT_LUTF0(16'b0011010100000000),
.INIT_LUTF1(16'b0011010100000000),
.INIT_LUTG0(16'b0011010100000000),
.INIT_LUTG1(16'b0011010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u257|ethernet_i0/mac_test0/_al_u301 (
.a({\ethernet_i0/mac_test0/_al_u254_o ,\ethernet_i0/mac_test0/_al_u298_o }),
.b({\ethernet_i0/mac_test0/_al_u256_o ,\ethernet_i0/mac_test0/_al_u300_o }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.f({\ethernet_i0/mac_test0/_al_u257_o ,\ethernet_i0/mac_test0/_al_u301_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u258|ethernet_i0/mac_test0/_al_u302 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_012 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_012 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u258_o ,\ethernet_i0/mac_test0/_al_u302_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u259|ethernet_i0/mac_test0/_al_u303 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_012 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_012 }),
.c({\ethernet_i0/mac_test0/_al_u258_o ,\ethernet_i0/mac_test0/_al_u302_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u259_o ,\ethernet_i0/mac_test0/_al_u303_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u260|ethernet_i0/mac_test0/_al_u304 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_012 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_012 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u260_o ,\ethernet_i0/mac_test0/_al_u304_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u261|ethernet_i0/mac_test0/_al_u305 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_012 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_012 }),
.c({\ethernet_i0/mac_test0/_al_u260_o ,\ethernet_i0/mac_test0/_al_u304_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u261_o ,\ethernet_i0/mac_test0/_al_u305_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000000001010011),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u262|ethernet_i0/mac_test0/_al_u211 (
.a({\ethernet_i0/mac_test0/_al_u259_o ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_014 }),
.b({\ethernet_i0/mac_test0/_al_u261_o ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_014 }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/_al_u210_o }),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u262_o ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b14/B1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUT1("(C*~(D*~(~B*~A)))"),
.INIT_LUT0(16'b0000000001010011),
.INIT_LUT1(16'b0001000011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u263|ethernet_i0/mac_test0/_al_u306 (
.a({\ethernet_i0/mac_test0/_al_u257_o ,\ethernet_i0/mac_test0/_al_u303_o }),
.b({\ethernet_i0/mac_test0/_al_u262_o ,\ethernet_i0/mac_test0/_al_u305_o }),
.c({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/i [6]}),
.d(\ethernet_i0/mac_test0/j ),
.f({\ethernet_i0/mac_test0/_al_u263_o ,\ethernet_i0/mac_test0/_al_u306_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u264|ethernet_i0/mac_test0/_al_u308 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_020 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_020 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u264_o ,\ethernet_i0/mac_test0/_al_u308_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.INIT_LUTF0(16'b0101010100011011),
.INIT_LUTF1(16'b0101010100011011),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u265|ethernet_i0/mac_test0/_al_u309 (
.a({\ethernet_i0/mac_test0/_al_u264_o ,\ethernet_i0/mac_test0/_al_u308_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_020 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_020 }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.f({\ethernet_i0/mac_test0/_al_u265_o ,\ethernet_i0/mac_test0/_al_u309_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u266|ethernet_i0/mac_test0/_al_u310 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_020 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_020 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u266_o ,\ethernet_i0/mac_test0/_al_u310_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u267|ethernet_i0/mac_test0/_al_u311 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_020 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_020 }),
.c({\ethernet_i0/mac_test0/_al_u266_o ,\ethernet_i0/mac_test0/_al_u310_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u267_o ,\ethernet_i0/mac_test0/_al_u311_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u268|ethernet_i0/mac_test0/_al_u312 (
.b({\ethernet_i0/mac_test0/_al_u267_o ,\ethernet_i0/mac_test0/_al_u311_o }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/_al_u265_o ,\ethernet_i0/mac_test0/_al_u309_o }),
.f(\ethernet_i0/mac_test0/n72 [21:20]));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u269|ethernet_i0/mac_test0/_al_u313 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_028 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_028 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u269_o ,\ethernet_i0/mac_test0/_al_u313_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u271|ethernet_i0/mac_test0/_al_u315 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_028 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_028 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u271_o ,\ethernet_i0/mac_test0/_al_u315_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUT1("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.INIT_LUT0(16'b0101010100011011),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u272 (
.a({\ethernet_i0/mac_test0/_al_u271_o ,\ethernet_i0/mac_test0/_al_u271_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_029 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_029 }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({open_n35487,\ethernet_i0/mac_test0/i [6]}),
.fx({open_n35492,\ethernet_i0/mac_test0/_al_u272_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*((~C*~B)*~(A)*~(D)+(~C*~B)*A*~(D)+~((~C*~B))*A*D+(~C*~B)*A*D))"),
//.LUT1("(~1*((~C*~B)*~(A)*~(D)+(~C*~B)*A*~(D)+~((~C*~B))*A*D+(~C*~B)*A*D))"),
.INIT_LUT0(16'b1010101000000011),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u273 (
.a({\ethernet_i0/mac_test0/n72 [21],\ethernet_i0/mac_test0/n72 [21]}),
.b({\ethernet_i0/mac_test0/_al_u270_o ,\ethernet_i0/mac_test0/_al_u270_o }),
.c({\ethernet_i0/mac_test0/_al_u272_o ,\ethernet_i0/mac_test0/_al_u272_o }),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.mi({open_n35507,\ethernet_i0/mac_test0/j [1]}),
.fx({open_n35512,\ethernet_i0/mac_test0/_al_u273_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u274|ethernet_i0/mac_test0/ram_wr_en_reg (
.b({\ethernet_i0/mac_test0/n59_lutinv ,open_n35517}),
.c({\ethernet_i0/mac_test0/ram_wr_data [5],\ethernet_i0/mac_test0/n59_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/n69_lutinv ,\ethernet_i0/mac_test0/n69_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u274_o ,\ethernet_i0/mac_test0/n91 }),
.q({open_n35534,\ethernet_i0/mac_test0/ram_wr_en })); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_MSLICE #(
//.LUT0("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUT1("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0101010100011011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u277 (
.a({\ethernet_i0/mac_test0/_al_u276_o ,\ethernet_i0/mac_test0/_al_u276_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_028 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_028 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_028 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_028 }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({open_n35547,\ethernet_i0/mac_test0/i [6]}),
.fx({open_n35552,\ethernet_i0/mac_test0/_al_u277_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u282 (
.a({open_n35555,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_012 }),
.b({open_n35556,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_012 }),
.c({open_n35557,\ethernet_i0/mac_test0/_al_u281_o }),
.d({open_n35560,\ethernet_i0/mac_test0/i [5]}),
.f({open_n35578,\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b12/B1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUT1("(1*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111111100110101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u285 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b12/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b12/B1_0 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b12/B1_1 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_mux_b12/B1_1 }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.mi({open_n35596,\ethernet_i0/mac_test0/j [1]}),
.fx({open_n35601,\ethernet_i0/mac_test0/_al_u285_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.INIT_LUT0(16'b0101010111111111),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u293 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_004 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_004 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_004 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_004 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_004 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_004 }),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.mi({open_n35616,\ethernet_i0/mac_test0/i [5]}),
.fx({open_n35621,\ethernet_i0/mac_test0/_al_u293_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*~(~B*~A)))"),
//.LUTG0("(C*~(D*~(~B*~A)))"),
.INIT_LUTF0(16'b0001000011110000),
.INIT_LUTG0(16'b0001000011110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u307 (
.a({open_n35624,\ethernet_i0/mac_test0/_al_u301_o }),
.b({open_n35625,\ethernet_i0/mac_test0/_al_u306_o }),
.c({open_n35626,\ethernet_i0/mac_test0/bufferA_B }),
.d({open_n35629,\ethernet_i0/mac_test0/j [1]}),
.f({open_n35647,\ethernet_i0/mac_test0/_al_u307_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUT1("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0101010100011011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u314 (
.a({\ethernet_i0/mac_test0/_al_u313_o ,\ethernet_i0/mac_test0/_al_u313_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_028 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_028 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_028 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_028 }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({open_n35665,\ethernet_i0/mac_test0/i [6]}),
.fx({open_n35670,\ethernet_i0/mac_test0/_al_u314_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUT1("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.INIT_LUT0(16'b0101010100011011),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u316 (
.a({\ethernet_i0/mac_test0/_al_u315_o ,\ethernet_i0/mac_test0/_al_u315_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_028 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_028 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_028 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_028 }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({open_n35685,\ethernet_i0/mac_test0/i [6]}),
.fx({open_n35690,\ethernet_i0/mac_test0/_al_u316_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*((~C*~B)*~(A)*~(D)+(~C*~B)*A*~(D)+~((~C*~B))*A*D+(~C*~B)*A*D))"),
//.LUT1("(~1*((~C*~B)*~(A)*~(D)+(~C*~B)*A*~(D)+~((~C*~B))*A*D+(~C*~B)*A*D))"),
.INIT_LUT0(16'b1010101000000011),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u317 (
.a({\ethernet_i0/mac_test0/n72 [20],\ethernet_i0/mac_test0/n72 [20]}),
.b({\ethernet_i0/mac_test0/_al_u314_o ,\ethernet_i0/mac_test0/_al_u314_o }),
.c({\ethernet_i0/mac_test0/_al_u316_o ,\ethernet_i0/mac_test0/_al_u316_o }),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.mi({open_n35705,\ethernet_i0/mac_test0/j [1]}),
.fx({open_n35710,\ethernet_i0/mac_test0/_al_u317_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u318 (
.b({open_n35715,\ethernet_i0/mac_test0/n59_lutinv }),
.c({open_n35716,\ethernet_i0/mac_test0/ram_wr_data [4]}),
.d({open_n35719,\ethernet_i0/mac_test0/n69_lutinv }),
.f({open_n35737,\ethernet_i0/mac_test0/_al_u318_o }));
// ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_LSLICE #(
//.LUTF0("~((~D*~C)*~((~B*~A))*~(0)+(~D*~C)*(~B*~A)*~(0)+~((~D*~C))*(~B*~A)*0+(~D*~C)*(~B*~A)*0)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("~((~D*~C)*~((~B*~A))*~(1)+(~D*~C)*(~B*~A)*~(1)+~((~D*~C))*(~B*~A)*1+(~D*~C)*(~B*~A)*1)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1110111011101110),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/mac_test0/_al_u320|FM_HW/FM_Demodulation/reg7_b13 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_019 ,\ethernet_i0/mac_test0/_al_u407_o }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_019 ,\ethernet_i0/mac_test0/_al_u409_o }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/_al_u411_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/_al_u413_o }),
.e({open_n35743,\ethernet_i0/mac_test0/j [0]}),
.mi({open_n35745,\FM_HW/FM_Demodulation/Idata [5]}),
.f({\ethernet_i0/mac_test0/_al_u320_o ,\ethernet_i0/mac_test0/_al_u414_o }),
.q({open_n35761,\FM_HW/FM_Demodulation/IQdatatemp2 [13]})); // ../rtl/demodulation/FM_Demodulation.v(112)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u322|ethernet_i0/mac_test0/_al_u365 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_019 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_018 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_019 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_018 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u322_o ,\ethernet_i0/mac_test0/_al_u365_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u324|ethernet_i0/mac_test0/_al_u367 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_027 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_026 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_027 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_026 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u324_o ,\ethernet_i0/mac_test0/_al_u367_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u326|ethernet_i0/mac_test0/_al_u369 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_027 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_026 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_027 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_026 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u326_o ,\ethernet_i0/mac_test0/_al_u369_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u330|ethernet_i0/mac_test0/_al_u373 (
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.d({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_003 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_002 }),
.f({\ethernet_i0/mac_test0/_al_u330_o ,\ethernet_i0/mac_test0/_al_u373_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~C*~B*A))"),
//.LUTF1("(D*~(~C*~B*A))"),
//.LUTG0("(D*~(~C*~B*A))"),
//.LUTG1("(D*~(~C*~B*A))"),
.INIT_LUTF0(16'b1111110100000000),
.INIT_LUTF1(16'b1111110100000000),
.INIT_LUTG0(16'b1111110100000000),
.INIT_LUTG1(16'b1111110100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u331|ethernet_i0/mac_test0/_al_u460 (
.a({\ethernet_i0/mac_test0/_al_u329_o ,\ethernet_i0/mac_test0/_al_u458_o }),
.b({\ethernet_i0/mac_test0/_al_u330_o ,\ethernet_i0/mac_test0/_al_u459_o }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.f({\ethernet_i0/mac_test0/_al_u331_o ,\ethernet_i0/mac_test0/_al_u460_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u333|ethernet_i0/mac_test0/_al_u462 (
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.d({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_011 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_008 }),
.f({\ethernet_i0/mac_test0/_al_u333_o ,\ethernet_i0/mac_test0/_al_u462_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~C*~B*A))"),
//.LUT1("(~D*~(~C*~B*A))"),
.INIT_LUT0(16'b0000000011111101),
.INIT_LUT1(16'b0000000011111101),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u334|ethernet_i0/mac_test0/_al_u463 (
.a({\ethernet_i0/mac_test0/_al_u332_o ,\ethernet_i0/mac_test0/_al_u461_o }),
.b({\ethernet_i0/mac_test0/_al_u333_o ,\ethernet_i0/mac_test0/_al_u462_o }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.f({\ethernet_i0/mac_test0/_al_u334_o ,\ethernet_i0/mac_test0/_al_u463_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u335|ethernet_i0/mac_test0/_al_u378 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_003 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_002 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_003 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_002 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u335_o ,\ethernet_i0/mac_test0/_al_u378_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u336|ethernet_i0/mac_test0/_al_u379 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_003 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_002 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_003 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_002 }),
.c({\ethernet_i0/mac_test0/_al_u335_o ,\ethernet_i0/mac_test0/_al_u378_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u336_o ,\ethernet_i0/mac_test0/_al_u379_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u337|ethernet_i0/mac_test0/_al_u380 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_011 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_010 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_011 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_010 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u337_o ,\ethernet_i0/mac_test0/_al_u380_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u338|ethernet_i0/mac_test0/_al_u381 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_011 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_010 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_011 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_010 }),
.c({\ethernet_i0/mac_test0/_al_u337_o ,\ethernet_i0/mac_test0/_al_u380_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u338_o ,\ethernet_i0/mac_test0/_al_u381_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0001000100010001),
.INIT_LUTF1(16'b0001000100010001),
.INIT_LUTG0(16'b1111010100110001),
.INIT_LUTG1(16'b1111010100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u339|ethernet_i0/mac_test0/_al_u382 (
.a({\ethernet_i0/mac_test0/_al_u331_o ,\ethernet_i0/mac_test0/_al_u374_o }),
.b({\ethernet_i0/mac_test0/_al_u334_o ,\ethernet_i0/mac_test0/_al_u377_o }),
.c({\ethernet_i0/mac_test0/_al_u336_o ,\ethernet_i0/mac_test0/_al_u379_o }),
.d({\ethernet_i0/mac_test0/_al_u338_o ,\ethernet_i0/mac_test0/_al_u381_o }),
.e({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.f({\ethernet_i0/mac_test0/_al_u339_o ,\ethernet_i0/mac_test0/_al_u382_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u341|ethernet_i0/mac_test0/_al_u384 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_010 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_010 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u341_o ,\ethernet_i0/mac_test0/_al_u384_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u342|ethernet_i0/mac_test0/_al_u387 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_010 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_010 }),
.c({\ethernet_i0/mac_test0/_al_u341_o ,\ethernet_i0/mac_test0/_al_u386_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b11/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b10/B1_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u343|ethernet_i0/mac_test0/_al_u386 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_010 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_010 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u343_o ,\ethernet_i0/mac_test0/_al_u386_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(1*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u344|ethernet_i0/mac_test0/_al_u345 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b11/B1_0 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b11/B1_1 }),
.c({\ethernet_i0/mac_test0/_al_u343_o ,\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/j [0]}),
.e({open_n36094,\ethernet_i0/mac_test0/j [1]}),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b11/B1_1 ,\ethernet_i0/mac_test0/_al_u345_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUT1("(1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111001101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u355 (
.a({\ethernet_i0/mac_test0/_al_u352_o ,\ethernet_i0/mac_test0/_al_u352_o }),
.b({\ethernet_i0/mac_test0/_al_u353_o ,\ethernet_i0/mac_test0/_al_u353_o }),
.c({\ethernet_i0/mac_test0/_al_u354_o ,\ethernet_i0/mac_test0/_al_u354_o }),
.d({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.mi({open_n36127,\ethernet_i0/mac_test0/j [0]}),
.fx({open_n36132,\ethernet_i0/mac_test0/_al_u355_o }));
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(629)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0@C)*~(D@B))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(A*~(1@C)*~(D@B))"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100000000010),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1000000000100000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u359|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_al_u28_b1 (
.a({open_n36135,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u958_o }),
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g0 }),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g1 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_027 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g0 }),
.e({open_n36137,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g1 }),
.mi({open_n36139,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g1 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u359_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u959_o }),
.q({open_n36154,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g1 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(629)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u363 (
.a({open_n36155,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_018 }),
.b({open_n36156,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_018 }),
.c({open_n36157,\ethernet_i0/mac_test0/i [4]}),
.d({open_n36160,\ethernet_i0/mac_test0/i [5]}),
.f({open_n36178,\ethernet_i0/mac_test0/_al_u363_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.INIT_LUT0(16'b0101010111111111),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u372 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_002 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_002 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_002 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_002 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_002 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_002 }),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.mi({open_n36196,\ethernet_i0/mac_test0/i [5]}),
.fx({open_n36201,\ethernet_i0/mac_test0/_al_u372_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.INIT_LUT0(16'b0101010111111111),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u375 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_010 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_010 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_010 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_010 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_010 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_010 }),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.mi({open_n36216,\ethernet_i0/mac_test0/i [5]}),
.fx({open_n36221,\ethernet_i0/mac_test0/_al_u375_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~C*~B*A))"),
//.LUTG0("(~D*~(~C*~B*A))"),
.INIT_LUTF0(16'b0000000011111101),
.INIT_LUTG0(16'b0000000011111101),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u377 (
.a({open_n36224,\ethernet_i0/mac_test0/_al_u375_o }),
.b({open_n36225,\ethernet_i0/mac_test0/_al_u376_o }),
.c({open_n36226,\ethernet_i0/mac_test0/i [6]}),
.d({open_n36229,\ethernet_i0/mac_test0/j [0]}),
.f({open_n36247,\ethernet_i0/mac_test0/_al_u377_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u385 (
.a({open_n36253,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_010 }),
.b({open_n36254,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_010 }),
.c({open_n36255,\ethernet_i0/mac_test0/_al_u384_o }),
.d({open_n36258,\ethernet_i0/mac_test0/i [5]}),
.f({open_n36276,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b10/B1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUT1("(1*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111111100110101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u388 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b10/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b10/B1_0 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b10/B1_1 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b10/B1_1 }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.mi({open_n36294,\ethernet_i0/mac_test0/j [1]}),
.fx({open_n36299,\ethernet_i0/mac_test0/_al_u388_o }));
// ../rtl/peripherals/FM_Display.v(31)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u391|FM_Display/reg2_b1 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_018 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_019 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_018 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_019 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({open_n36312,HWDATA[14]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u391_o ,\ethernet_i0/mac_test0/_al_u348_o }),
.q({open_n36316,\FM_Display/percentage_digit [1]})); // ../rtl/peripherals/FM_Display.v(31)
// ../rtl/peripherals/FM_Display.v(31)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010111111111),
.INIT_LUTF1(16'b0101010111111111),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u396|FM_Display/reg0_b0 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_002 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_003 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_002 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_003 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_002 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_003 }),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.e({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({open_n36318,HWDATA[5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u396_o ,\ethernet_i0/mac_test0/_al_u353_o }),
.q({open_n36333,\FM_Display/frac_digit [0]})); // ../rtl/peripherals/FM_Display.v(31)
EG_PHY_MSLICE #(
//.LUT0("(0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUT1("(1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111001101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u398 (
.a({\ethernet_i0/mac_test0/_al_u395_o ,\ethernet_i0/mac_test0/_al_u395_o }),
.b({\ethernet_i0/mac_test0/_al_u396_o ,\ethernet_i0/mac_test0/_al_u396_o }),
.c({\ethernet_i0/mac_test0/_al_u397_o ,\ethernet_i0/mac_test0/_al_u397_o }),
.d({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.mi({open_n36346,\ethernet_i0/mac_test0/j [0]}),
.fx({open_n36351,\ethernet_i0/mac_test0/_al_u398_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(282)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010111111111),
.INIT_LUTF1(16'b0101010111111111),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/mac_test0/_al_u401|ethernet_i0/mac_test0/trigger_delay1_reg (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_026 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_027 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_026 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_027 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_026 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_027 }),
.ce(RSTn_pad),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.e({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({open_n36355,\ethernet_i0/mac_test0/trigger_send }),
.f({\ethernet_i0/mac_test0/_al_u401_o ,\ethernet_i0/mac_test0/_al_u358_o }),
.q({open_n36371,\ethernet_i0/mac_test0/trigger_delay1 })); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(282)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u402|ethernet_i0/mac_test0/_al_u488 (
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.d({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_026 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_024 }),
.f({\ethernet_i0/mac_test0/_al_u402_o ,\ethernet_i0/mac_test0/_al_u488_o }));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTF1("(~0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTG0("(~1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTG1("(~1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001101010101),
.INIT_LUTF1(16'b1111001101010101),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u403|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg2_b6 (
.a({\ethernet_i0/mac_test0/_al_u400_o ,\ethernet_i0/mac_test0/_al_u357_o }),
.b({\ethernet_i0/mac_test0/_al_u401_o ,\ethernet_i0/mac_test0/_al_u358_o }),
.c({\ethernet_i0/mac_test0/_al_u402_o ,\ethernet_i0/mac_test0/_al_u359_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.e({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.mi({open_n36400,\ethernet_i0/mac_test0/ram_wr_data [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u403_o ,\ethernet_i0/mac_test0/_al_u360_o }),
.q({open_n36415,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [6]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u410|ethernet_i0/mac_test0/_al_u453 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_025 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_024 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_025 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_024 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u410_o ,\ethernet_i0/mac_test0/_al_u453_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.INIT_LUTF0(16'b0101010111111111),
.INIT_LUTF1(16'b0101010111111111),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u415|ethernet_i0/mac_test0/_al_u458 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_000 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_000 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_000 }),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.e({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u415_o ,\ethernet_i0/mac_test0/_al_u458_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u416|ethernet_i0/mac_test0/_al_u459 (
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.d({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_000 }),
.f({\ethernet_i0/mac_test0/_al_u416_o ,\ethernet_i0/mac_test0/_al_u459_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(D*~(~C*~B*A))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(D*~(~C*~B*A))"),
.INIT_LUTF0(16'b0001000100010001),
.INIT_LUTF1(16'b1111110100000000),
.INIT_LUTG0(16'b1111010100110001),
.INIT_LUTG1(16'b1111110100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u417|ethernet_i0/mac_test0/_al_u468 (
.a({\ethernet_i0/mac_test0/_al_u415_o ,\ethernet_i0/mac_test0/_al_u460_o }),
.b({\ethernet_i0/mac_test0/_al_u416_o ,\ethernet_i0/mac_test0/_al_u463_o }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/_al_u465_o }),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/_al_u467_o }),
.e({open_n36486,\ethernet_i0/mac_test0/i [6]}),
.f({\ethernet_i0/mac_test0/_al_u417_o ,\ethernet_i0/mac_test0/_al_u468_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.INIT_LUTF0(16'b0101010111111111),
.INIT_LUTF1(16'b0101010111111111),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u418|ethernet_i0/mac_test0/_al_u461 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_008 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_008 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_008 }),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.e({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u418_o ,\ethernet_i0/mac_test0/_al_u461_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0101010111111111),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u419|ethernet_i0/mac_test0/_al_u332 (
.a({open_n36529,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_011 }),
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_011 }),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_011 }),
.d({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_009 ,\ethernet_i0/mac_test0/i [4]}),
.e({open_n36532,\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u419_o ,\ethernet_i0/mac_test0/_al_u332_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~D*~(~C*~B*A))"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~D*~(~C*~B*A))"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0000000011111101),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0000000011111101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u420|ethernet_i0/mac_test0/_al_u376 (
.a({\ethernet_i0/mac_test0/_al_u418_o ,open_n36553}),
.b({\ethernet_i0/mac_test0/_al_u419_o ,\ethernet_i0/mac_test0/i [4]}),
.c(\ethernet_i0/mac_test0/i [6:5]),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_010 }),
.f({\ethernet_i0/mac_test0/_al_u420_o ,\ethernet_i0/mac_test0/_al_u376_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u421|ethernet_i0/mac_test0/_al_u464 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_000 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_000 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u421_o ,\ethernet_i0/mac_test0/_al_u464_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u422|ethernet_i0/mac_test0/_al_u465 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_000 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_000 }),
.c({\ethernet_i0/mac_test0/_al_u421_o ,\ethernet_i0/mac_test0/_al_u464_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u422_o ,\ethernet_i0/mac_test0/_al_u465_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u423|ethernet_i0/mac_test0/_al_u466 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_008 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_008 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u423_o ,\ethernet_i0/mac_test0/_al_u466_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u424|ethernet_i0/mac_test0/_al_u467 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_008 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_008 }),
.c({\ethernet_i0/mac_test0/_al_u423_o ,\ethernet_i0/mac_test0/_al_u466_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u424_o ,\ethernet_i0/mac_test0/_al_u467_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0101010111111111),
.INIT_LUTF1(16'b0001000100010001),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b1111010100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u425|ethernet_i0/mac_test0/_al_u329 (
.a({\ethernet_i0/mac_test0/_al_u417_o ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_003 }),
.b({\ethernet_i0/mac_test0/_al_u420_o ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_003 }),
.c({\ethernet_i0/mac_test0/_al_u422_o ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_003 }),
.d({\ethernet_i0/mac_test0/_al_u424_o ,\ethernet_i0/mac_test0/i [4]}),
.e(\ethernet_i0/mac_test0/i [6:5]),
.f({\ethernet_i0/mac_test0/_al_u425_o ,\ethernet_i0/mac_test0/_al_u329_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u427|ethernet_i0/mac_test0/_al_u470 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_008 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_008 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u427_o ,\ethernet_i0/mac_test0/_al_u470_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u429|ethernet_i0/mac_test0/_al_u472 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_008 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_008 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/_al_u429_o ,\ethernet_i0/mac_test0/_al_u472_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u430|ethernet_i0/mac_test0/_al_u473 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_008 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_008 }),
.c({\ethernet_i0/mac_test0/_al_u429_o ,\ethernet_i0/mac_test0/_al_u472_o }),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b9/B1_1 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b8/B1_1 }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUT1("(1*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111111100110101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u431 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b9/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b9/B1_0 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b9/B1_1 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b9/B1_1 }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.mi({open_n36764,\ethernet_i0/mac_test0/j [1]}),
.fx({open_n36769,\ethernet_i0/mac_test0/_al_u431_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u438|ethernet_i0/mac_test0/_al_u483 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_001 ,open_n36772}),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_001 ,\ethernet_i0/mac_test0/i [4]}),
.c({\ethernet_i0/mac_test0/_al_u437_o ,\ethernet_i0/mac_test0/i [5]}),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_000 }),
.f({\ethernet_i0/mac_test0/_al_u438_o ,\ethernet_i0/mac_test0/_al_u483_o }));
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(584)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u440|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_deleay_wrptr_b1 (
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_001 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_003 }),
.mi({open_n36803,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g1 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u440_o ,\ethernet_i0/mac_test0/_al_u354_o }),
.q({open_n36818,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g1 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(584)
EG_PHY_LSLICE #(
//.LUTF0("(0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTF1("(0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTG0("(1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTG1("(1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b1111001101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u441|ethernet_i0/mac_test0/_al_u484 (
.a({\ethernet_i0/mac_test0/_al_u438_o ,\ethernet_i0/mac_test0/_al_u481_o }),
.b({\ethernet_i0/mac_test0/_al_u439_o ,\ethernet_i0/mac_test0/_al_u482_o }),
.c({\ethernet_i0/mac_test0/_al_u440_o ,\ethernet_i0/mac_test0/_al_u483_o }),
.d({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.e({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.f({\ethernet_i0/mac_test0/_al_u441_o ,\ethernet_i0/mac_test0/_al_u484_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(282)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0101010111111111),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/mac_test0/_al_u444|ethernet_i0/mac_test0/trigger_delay3_reg (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_025 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_027 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_025 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_027 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_025 ,\ethernet_i0/mac_test0/_al_u356_o }),
.ce(RSTn_pad),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [5]}),
.e({\ethernet_i0/mac_test0/i [5],open_n36841}),
.mi({open_n36843,\ethernet_i0/mac_test0/trigger_delay2 }),
.f({\ethernet_i0/mac_test0/_al_u444_o ,\ethernet_i0/mac_test0/_al_u357_o }),
.q({open_n36859,\ethernet_i0/mac_test0/trigger_delay3 })); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(282)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(638)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(A*~(D@C)))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(B*~(A*~(D@C)))"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100110011000100),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0100110011000100),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u445|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_al_u28_b2 (
.a({open_n36860,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u959_o }),
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_wr }),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g2 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_025 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g2 }),
.mi({open_n36865,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g2 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u445_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_we_full_equal_o__o }),
.q({open_n36880,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g2 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(638)
EG_PHY_MSLICE #(
//.LUT0("(0*~(~(~D*B)*~(~C*A)))"),
//.LUT1("(1*~(~(~D*B)*~(~C*A)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0000101011001110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u447 (
.a({\ethernet_i0/mac_test0/_al_u431_o ,\ethernet_i0/mac_test0/_al_u431_o }),
.b({\ethernet_i0/mac_test0/_al_u436_o ,\ethernet_i0/mac_test0/_al_u436_o }),
.c({\ethernet_i0/mac_test0/_al_u441_o ,\ethernet_i0/mac_test0/_al_u441_o }),
.d({\ethernet_i0/mac_test0/_al_u446_o ,\ethernet_i0/mac_test0/_al_u446_o }),
.mi({open_n36893,\ethernet_i0/mac_test0/bufferA_B }),
.fx({open_n36898,\ethernet_i0/mac_test0/_al_u447_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUT1("(1*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111111100110101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u474 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b8/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b8/B1_0 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b8/B1_1 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b8/B1_1 }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.mi({open_n36913,\ethernet_i0/mac_test0/j [1]}),
.fx({open_n36918,\ethernet_i0/mac_test0/_al_u474_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(308)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*~A)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(D*~C*~B*~A)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b0000000100000000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u477|ethernet_i0/mac_test0/almost_full_d1_reg (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_016 ,\FM_Display/ChannelNO_or_FREQ }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_016 ,FM_HW_state[3]}),
.c({\ethernet_i0/mac_test0/i [4],FM_HW_state[2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [5],FM_HW_state[1]}),
.mi({open_n36925,\ethernet_i0/mac_test0/almost_full_d0 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u477_o ,\FM_Display/n29 }),
.q({open_n36940,\ethernet_i0/mac_test0/almost_full_d1 })); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(308)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u481 (
.a({open_n36941,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_000 }),
.b({open_n36942,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_000 }),
.c({open_n36943,\ethernet_i0/mac_test0/_al_u480_o }),
.d({open_n36946,\ethernet_i0/mac_test0/i [5]}),
.f({open_n36964,\ethernet_i0/mac_test0/_al_u481_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
.INIT_LUT0(16'b0101010111111111),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u487 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_024 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_024 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_024 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_024 }),
.c({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_024 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_024 }),
.d({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.mi({open_n36982,\ethernet_i0/mac_test0/i [5]}),
.fx({open_n36987,\ethernet_i0/mac_test0/_al_u487_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~(~D*B)*~(~C*A)))"),
//.LUT1("(1*~(~(~D*B)*~(~C*A)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0000101011001110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u490 (
.a({\ethernet_i0/mac_test0/_al_u474_o ,\ethernet_i0/mac_test0/_al_u474_o }),
.b({\ethernet_i0/mac_test0/_al_u479_o ,\ethernet_i0/mac_test0/_al_u479_o }),
.c({\ethernet_i0/mac_test0/_al_u484_o ,\ethernet_i0/mac_test0/_al_u484_o }),
.d({\ethernet_i0/mac_test0/_al_u489_o ,\ethernet_i0/mac_test0/_al_u489_o }),
.mi({open_n37002,\ethernet_i0/mac_test0/bufferA_B }),
.fx({open_n37007,\ethernet_i0/mac_test0/_al_u490_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(D*~(A*~(~0*C))))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("~(~B*~(D*~(A*~(~1*C))))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110111001100),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1101110111001100),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u505|ethernet_i0/mac_test0/reg8_b8 (
.a({\ethernet_i0/mac_test0/n19_lutinv ,\ethernet_i0/mac_test0/_al_u142_o }),
.b({\ethernet_i0/mac_test0/n13_lutinv ,\ethernet_i0/mac_test0/sel0_b8/B1 }),
.c({\ethernet_i0/mac_test0/n14_lutinv ,\ethernet_i0/mac_test0/n14_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/n59_lutinv ,\ethernet_i0/mac_test0/_al_u83_o }),
.e({open_n37011,\ethernet_i0/mac_test0/arp_found }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u505_o ,open_n37026}),
.q({open_n37030,\ethernet_i0/mac_test0/state [8]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~D*C*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~A*~(~D*C*B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0101010100010101),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0101010100010101),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u506|ethernet_i0/mac_test0/_al_u142 (
.a({open_n37031,\ethernet_i0/mac_test0/n11_lutinv }),
.b({open_n37032,\ethernet_i0/mac_test0/_al_u70_o }),
.c({\ethernet_i0/mac_test0/_al_u83_o ,\ethernet_i0/mac_test0/state [7]}),
.d({\ethernet_i0/mac_test0/n11_lutinv ,\ethernet_i0/mac_test0/state [8]}),
.f({\ethernet_i0/mac_test0/sel0_b0/B9 ,\ethernet_i0/mac_test0/_al_u142_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u60|ethernet_i0/mac_test0/_al_u82 (
.b({\ethernet_i0/mac_test0/state [2],\ethernet_i0/mac_test0/state [2]}),
.c({\ethernet_i0/mac_test0/state [3],\ethernet_i0/mac_test0/state [3]}),
.d({\ethernet_i0/mac_test0/_al_u59_o ,\ethernet_i0/mac_test0/_al_u79_o }),
.f({\ethernet_i0/mac_test0/_al_u60_o ,\ethernet_i0/mac_test0/n14_lutinv }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~(~C*B))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("~(~D*~(~C*B))"),
//.LUTG1("(C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100001100),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1111111100001100),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u61|ethernet_i0/mac_test0/reg8_b2 (
.b({\ethernet_i0/mac_test0/state [0],\ethernet_i0/mac_test0/n13_lutinv }),
.c({\ethernet_i0/mac_test0/state [1],\ethernet_i0/mac_test0/mac_send_end }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/_al_u60_o ,\ethernet_i0/mac_test0/arp_request_req }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/arp_request_req ,open_n37098}),
.q({open_n37102,\ethernet_i0/mac_test0/state [2]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*B*A)"),
//.LUT1("(~1*~D*~C*B*A)"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/_al_u63 (
.a({\ethernet_i0/mac_test0/_al_u62_o ,\ethernet_i0/mac_test0/_al_u62_o }),
.b({\ethernet_i0/mac_test0/state [4],\ethernet_i0/mac_test0/state [4]}),
.c({\ethernet_i0/mac_test0/state [5],\ethernet_i0/mac_test0/state [5]}),
.d({\ethernet_i0/mac_test0/state [7],\ethernet_i0/mac_test0/state [7]}),
.mi({open_n37115,\ethernet_i0/mac_test0/state [8]}),
.fx({open_n37120,\ethernet_i0/mac_test0/udp_tx_req }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010010000000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b1100010010000000),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u64|ethernet_i0/mac_test0/reg6_b10 (
.a({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/mux19_b0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/i [7],\ethernet_i0/mac_test0/n59_lutinv }),
.c({\ethernet_i0/mac_test0/i [8],\ethernet_i0/mac_test0/n84 [10]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [9],\ethernet_i0/mac_test0/i [10]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u64_o ,open_n37140}),
.q({open_n37144,\ethernet_i0/mac_test0/i [10]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010010000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1100010010000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u66|ethernet_i0/mac_test0/reg6_b11 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/mux19_b0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/n59_lutinv }),
.c({\ethernet_i0/mac_test0/i [10],\ethernet_i0/mac_test0/n84 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [11],\ethernet_i0/mac_test0/i [11]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u66_o ,open_n37162}),
.q({open_n37166,\ethernet_i0/mac_test0/i [11]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~B*A)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000001000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u67|ethernet_i0/mac_test0/_al_u65 (
.a({open_n37167,\ethernet_i0/mac_test0/i [2]}),
.b({\ethernet_i0/mac_test0/_al_u65_o ,\ethernet_i0/mac_test0/i [3]}),
.c({\ethernet_i0/mac_test0/_al_u66_o ,\ethernet_i0/mac_test0/i [4]}),
.d({\ethernet_i0/mac_test0/_al_u64_o ,\ethernet_i0/mac_test0/i [5]}),
.f({\ethernet_i0/mac_test0/n69_lutinv ,\ethernet_i0/mac_test0/_al_u65_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_LSLICE #(
//.LUTF0("~(~(~0*B)*~(~D*~C*A))"),
//.LUTF1("(~0*~D*C*~B*A)"),
//.LUTG0("~(~(~1*B)*~(~D*~C*A))"),
//.LUTG1("(~1*~D*C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011001110),
.INIT_LUTF1(16'b0000000000100000),
.INIT_LUTG0(16'b0000000000001010),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u68|ethernet_i0/mac_test0/reg8_b4 (
.a({\ethernet_i0/mac_test0/_al_u62_o ,\ethernet_i0/mac_test0/n19_lutinv }),
.b({\ethernet_i0/mac_test0/state [4],\ethernet_i0/mac_test0/udp_tx_req }),
.c({\ethernet_i0/mac_test0/state [5],\ethernet_i0/mac_test0/almost_full_d1 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/state [7],\ethernet_i0/mac_test0/mac_not_exist }),
.e({\ethernet_i0/mac_test0/state [8],\ethernet_i0/mac_test0/udp_ram_data_req }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/n59_lutinv ,open_n37203}),
.q({open_n37207,\ethernet_i0/mac_test0/state [4]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*A)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~D*C*~B*A)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u70|ethernet_i0/mac_test0/_al_u139 (
.a({open_n37208,\ethernet_i0/mac_test0/_al_u70_o }),
.b({\ethernet_i0/mac_test0/state [4],\ethernet_i0/mac_test0/_al_u83_o }),
.c({\ethernet_i0/mac_test0/state [5],\ethernet_i0/mac_test0/state [7]}),
.d({\ethernet_i0/mac_test0/_al_u62_o ,\ethernet_i0/mac_test0/state [8]}),
.f({\ethernet_i0/mac_test0/_al_u70_o ,\ethernet_i0/mac_test0/sel0_b7/B2 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u71|ethernet_i0/mac_test0/_al_u59 (
.a({open_n37233,\ethernet_i0/mac_test0/state [4]}),
.b({\ethernet_i0/mac_test0/state [7],\ethernet_i0/mac_test0/state [5]}),
.c(\ethernet_i0/mac_test0/state [8:7]),
.d({\ethernet_i0/mac_test0/_al_u70_o ,\ethernet_i0/mac_test0/state [8]}),
.f({\ethernet_i0/mac_test0/n19_lutinv ,\ethernet_i0/mac_test0/_al_u59_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010000000100),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0101010000000100),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u73|ethernet_i0/mac_test0/reg2_b11 (
.a({\ethernet_i0/mac_test0/count_A [11],\ethernet_i0/mac_test0/n22 }),
.b({\ethernet_i0/mac_test0/count_A [7],\ethernet_i0/mac_test0/n26 [11]}),
.c({\ethernet_i0/mac_test0/count_A [8],\ethernet_i0/mac_test0/bufferA_B }),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/count_A [9],\ethernet_i0/mac_test0/count_A [11]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u73_o ,open_n37275}),
.q({open_n37279,\ethernet_i0/mac_test0/count_A [11]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u75|ethernet_i0/mac_test0/_al_u74 (
.b({open_n37282,\ethernet_i0/mac_test0/bufferA_B }),
.c({\ethernet_i0/mac_test0/count_A [4],\ethernet_i0/mac_test0/count_A [10]}),
.d({\ethernet_i0/mac_test0/n46 ,\ethernet_i0/mac_test0/_al_u73_o }),
.f({\ethernet_i0/mac_test0/_al_u75_o ,\ethernet_i0/mac_test0/n46 }));
// ../rtl/peripherals/FM_Display.v(31)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u77|FM_Display/reg9_b3 (
.a({\ethernet_i0/mac_test0/_al_u75_o ,\ethernet_i0/mac_test0/count_A [0]}),
.b({\ethernet_i0/mac_test0/_al_u76_o ,\ethernet_i0/mac_test0/count_A [1]}),
.c({\ethernet_i0/mac_test0/count_A [5],\ethernet_i0/mac_test0/count_A [2]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\ethernet_i0/mac_test0/count_A [6],\ethernet_i0/mac_test0/count_A [3]}),
.mi({open_n37310,HWDATA[3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/n22 ,\ethernet_i0/mac_test0/_al_u76_o }),
.q({open_n37325,\FM_Display/channel_NO [3]})); // ../rtl/peripherals/FM_Display.v(31)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u79|ethernet_i0/mac_test0/_al_u62 (
.a({open_n37326,\ethernet_i0/mac_test0/state [0]}),
.b({\ethernet_i0/mac_test0/state [0],\ethernet_i0/mac_test0/state [1]}),
.c({\ethernet_i0/mac_test0/state [1],\ethernet_i0/mac_test0/state [2]}),
.d({\ethernet_i0/mac_test0/_al_u59_o ,\ethernet_i0/mac_test0/state [3]}),
.f({\ethernet_i0/mac_test0/_al_u79_o ,\ethernet_i0/mac_test0/_al_u62_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_LSLICE #(
//.LUTF0("~(~(~D*~C*B)*~(0*A))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("~(~(~D*~C*B)*~(1*A))"),
//.LUTG1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001100),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1010101010101110),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u80|ethernet_i0/mac_test0/reg8_b3 (
.a({open_n37347,\ethernet_i0/mac_test0/n13_lutinv }),
.b({\ethernet_i0/mac_test0/state [2],\ethernet_i0/mac_test0/n14_lutinv }),
.c({\ethernet_i0/mac_test0/state [3],\ethernet_i0/mac_test0/_al_u83_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/_al_u79_o ,\ethernet_i0/mac_test0/arp_found }),
.e({open_n37349,\ethernet_i0/mac_test0/mac_send_end }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/n13_lutinv ,open_n37364}),
.q({open_n37368,\ethernet_i0/mac_test0/state [3]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
.INIT_LUT0(16'b0000000011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u83 (
.c({open_n37373,\ethernet_i0/mac_test0/trigger_send }),
.d({open_n37376,\ethernet_i0/mac_test0/trigger_delay }),
.f({open_n37390,\ethernet_i0/mac_test0/_al_u83_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u87|ethernet_i0/mac_test0/_al_u94 (
.b({open_n37398,\ethernet_i0/mac_test0/count_A [5]}),
.c({\ethernet_i0/mac_test0/count_A [4],\ethernet_i0/mac_test0/count_A [6]}),
.d({\ethernet_i0/mac_test0/n46 ,\ethernet_i0/mac_test0/_al_u87_o }),
.f({\ethernet_i0/mac_test0/_al_u87_o ,\ethernet_i0/mac_test0/n46_0_0_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u88|ethernet_i0/mac_test0/_al_u95 (
.b({\ethernet_i0/mac_test0/count_A [5],\ethernet_i0/mac_test0/count_A [5]}),
.c({\ethernet_i0/mac_test0/count_A [6],\ethernet_i0/mac_test0/count_A [6]}),
.d({\ethernet_i0/mac_test0/_al_u87_o ,\ethernet_i0/mac_test0/_al_u75_o }),
.f({\ethernet_i0/mac_test0/n46_1_1_1 ,\ethernet_i0/mac_test0/n46_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u89|ethernet_i0/mac_test0/_al_u93 (
.b({\ethernet_i0/mac_test0/count_A [5],\ethernet_i0/mac_test0/count_A [5]}),
.c({\ethernet_i0/mac_test0/count_A [6],\ethernet_i0/mac_test0/count_A [6]}),
.d({\ethernet_i0/mac_test0/_al_u75_o ,\ethernet_i0/mac_test0/_al_u75_o }),
.f({\ethernet_i0/mac_test0/n46_1_1_0 ,\ethernet_i0/mac_test0/n46_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(C*~B*D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/_al_u90|ethernet_i0/mac_test0/_al_u91 (
.b({\ethernet_i0/mac_test0/count_A [5],\ethernet_i0/mac_test0/count_A [5]}),
.c({\ethernet_i0/mac_test0/count_A [6],\ethernet_i0/mac_test0/count_A [6]}),
.d({\ethernet_i0/mac_test0/_al_u87_o ,\ethernet_i0/mac_test0/_al_u75_o }),
.f({\ethernet_i0/mac_test0/n46_1_0_1 ,\ethernet_i0/mac_test0/n46_1_0_0 }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_MSLICE #(
//.LUT0("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUT1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101010000000100),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u92|ethernet_i0/mac_test0/reg2_b4 (
.a({open_n37497,\ethernet_i0/mac_test0/n22 }),
.b({\ethernet_i0/mac_test0/count_A [5],\ethernet_i0/mac_test0/n26 [4]}),
.c({\ethernet_i0/mac_test0/count_A [6],\ethernet_i0/mac_test0/bufferA_B }),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/_al_u87_o ,\ethernet_i0/mac_test0/count_A [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/n46_0_1_1 ,open_n37511}),
.q({open_n37515,\ethernet_i0/mac_test0/count_A [4]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u96|ethernet_i0/mac_test0/reg3_b11 (
.a({\ethernet_i0/mac_test0/count_B [11],open_n37516}),
.b({\ethernet_i0/mac_test0/count_B [7],\ethernet_i0/mac_test0/n27 [11]}),
.c({\ethernet_i0/mac_test0/count_B [8],\ethernet_i0/mac_test0/count_B [11]}),
.ce(\ethernet_i0/mac_test0/n22 ),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/count_B [9],\ethernet_i0/mac_test0/_al_u110_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u96_o ,open_n37533}),
.q({open_n37537,\ethernet_i0/mac_test0/count_B [11]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/_al_u98|ethernet_i0/mac_test0/reg3_b4 (
.b({open_n37540,\ethernet_i0/mac_test0/n27 [4]}),
.c({\ethernet_i0/mac_test0/count_B [6],\ethernet_i0/mac_test0/count_B [4]}),
.ce(\ethernet_i0/mac_test0/n22 ),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/n49 ,\ethernet_i0/mac_test0/_al_u110_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/n49_1 ,open_n37557}),
.q({open_n37561,\ethernet_i0/mac_test0/count_B [4]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/add0/ucin_al_u508"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add0/u11_al_u511 (
.a({open_n37562,\ethernet_i0/mac_test0/count_A [11]}),
.c(2'b00),
.d({open_n37567,1'b0}),
.fci(\ethernet_i0/mac_test0/add0/c11 ),
.f({open_n37584,\ethernet_i0/mac_test0/n26 [11]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/add0/ucin_al_u508"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add0/u3_al_u509 (
.a({\ethernet_i0/mac_test0/count_A [5],\ethernet_i0/mac_test0/count_A [3]}),
.b({\ethernet_i0/mac_test0/count_A [6],\ethernet_i0/mac_test0/count_A [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/add0/c3 ),
.f({\ethernet_i0/mac_test0/n26 [5],\ethernet_i0/mac_test0/n26 [3]}),
.fco(\ethernet_i0/mac_test0/add0/c7 ),
.fx({\ethernet_i0/mac_test0/n26 [6],\ethernet_i0/mac_test0/n26 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/add0/ucin_al_u508"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add0/u7_al_u510 (
.a({\ethernet_i0/mac_test0/count_A [9],\ethernet_i0/mac_test0/count_A [7]}),
.b({\ethernet_i0/mac_test0/count_A [10],\ethernet_i0/mac_test0/count_A [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/add0/c7 ),
.f({\ethernet_i0/mac_test0/n26 [9],\ethernet_i0/mac_test0/n26 [7]}),
.fco(\ethernet_i0/mac_test0/add0/c11 ),
.fx({\ethernet_i0/mac_test0/n26 [10],\ethernet_i0/mac_test0/n26 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/add0/ucin_al_u508"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add0/ucin_al_u508 (
.a({\ethernet_i0/mac_test0/count_A [1],1'b0}),
.b({\ethernet_i0/mac_test0/count_A [2],\ethernet_i0/mac_test0/count_A [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\ethernet_i0/mac_test0/n26 [1],open_n37643}),
.fco(\ethernet_i0/mac_test0/add0/c3 ),
.fx({\ethernet_i0/mac_test0/n26 [2],\ethernet_i0/mac_test0/n26 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/add1/ucin_al_u512"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add1/u11_al_u515 (
.a({open_n37646,\ethernet_i0/mac_test0/count_B [11]}),
.c(2'b00),
.d({open_n37651,1'b0}),
.fci(\ethernet_i0/mac_test0/add1/c11 ),
.f({open_n37668,\ethernet_i0/mac_test0/n27 [11]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/add1/ucin_al_u512"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add1/u3_al_u513 (
.a({\ethernet_i0/mac_test0/count_B [5],\ethernet_i0/mac_test0/count_B [3]}),
.b({\ethernet_i0/mac_test0/count_B [6],\ethernet_i0/mac_test0/count_B [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/add1/c3 ),
.f({\ethernet_i0/mac_test0/n27 [5],\ethernet_i0/mac_test0/n27 [3]}),
.fco(\ethernet_i0/mac_test0/add1/c7 ),
.fx({\ethernet_i0/mac_test0/n27 [6],\ethernet_i0/mac_test0/n27 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/add1/ucin_al_u512"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add1/u7_al_u514 (
.a({\ethernet_i0/mac_test0/count_B [9],\ethernet_i0/mac_test0/count_B [7]}),
.b({\ethernet_i0/mac_test0/count_B [10],\ethernet_i0/mac_test0/count_B [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/add1/c7 ),
.f({\ethernet_i0/mac_test0/n27 [9],\ethernet_i0/mac_test0/n27 [7]}),
.fco(\ethernet_i0/mac_test0/add1/c11 ),
.fx({\ethernet_i0/mac_test0/n27 [10],\ethernet_i0/mac_test0/n27 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/add1/ucin_al_u512"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add1/ucin_al_u512 (
.a({\ethernet_i0/mac_test0/count_B [1],1'b0}),
.b({\ethernet_i0/mac_test0/count_B [2],\ethernet_i0/mac_test0/count_B [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\ethernet_i0/mac_test0/n27 [1],open_n37727}),
.fco(\ethernet_i0/mac_test0/add1/c3 ),
.fx({\ethernet_i0/mac_test0/n27 [2],\ethernet_i0/mac_test0/n27 [0]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/add4/u0|add4/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add4/u0|add4/ucin (
.a({\ethernet_i0/mac_test0/i [0],1'b0}),
.b({1'b1,open_n37730}),
.f({\ethernet_i0/mac_test0/n84 [0],open_n37750}),
.fco(\ethernet_i0/mac_test0/add4/c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/add4/u0|add4/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add4/u10|add4/u9 (
.a(\ethernet_i0/mac_test0/i [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/add4/c9 ),
.f(\ethernet_i0/mac_test0/n84 [10:9]),
.fco(\ethernet_i0/mac_test0/add4/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/add4/u0|add4/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add4/u11_al_u516 (
.a({open_n37777,\ethernet_i0/mac_test0/i [11]}),
.b({open_n37778,1'b0}),
.fci(\ethernet_i0/mac_test0/add4/c11 ),
.f({open_n37797,\ethernet_i0/mac_test0/n84 [11]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/add4/u0|add4/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add4/u2|add4/u1 (
.a(\ethernet_i0/mac_test0/i [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/add4/c1 ),
.f(\ethernet_i0/mac_test0/n84 [2:1]),
.fco(\ethernet_i0/mac_test0/add4/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/add4/u0|add4/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add4/u4|add4/u3 (
.a(\ethernet_i0/mac_test0/i [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/add4/c3 ),
.f(\ethernet_i0/mac_test0/n84 [4:3]),
.fco(\ethernet_i0/mac_test0/add4/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/add4/u0|add4/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add4/u6|add4/u5 (
.a(\ethernet_i0/mac_test0/i [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/add4/c5 ),
.f(\ethernet_i0/mac_test0/n84 [6:5]),
.fco(\ethernet_i0/mac_test0/add4/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/add4/u0|add4/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/add4/u8|add4/u7 (
.a(\ethernet_i0/mac_test0/i [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/add4/c7 ),
.f(\ethernet_i0/mac_test0/n84 [8:7]),
.fco(\ethernet_i0/mac_test0/add4/c9 ));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n37892,\ethernet_i0/mac_test0/n46_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_001 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_003 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n37927,\ethernet_i0/mac_test0/n46_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n37962,\ethernet_i0/mac_test0/n46_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n37997,\ethernet_i0/mac_test0/n46_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38032,\ethernet_i0/mac_test0/n46_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_017 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_019 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38067,\ethernet_i0/mac_test0/n46_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38102,\ethernet_i0/mac_test0/n46_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_025 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_027 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38137,\ethernet_i0/mac_test0/n46_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r0_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38172,\ethernet_i0/mac_test0/n46_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_001 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_003 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38207,\ethernet_i0/mac_test0/n46_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38242,\ethernet_i0/mac_test0/n46_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38277,\ethernet_i0/mac_test0/n46_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38312,\ethernet_i0/mac_test0/n46_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_017 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_019 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38347,\ethernet_i0/mac_test0/n46_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38382,\ethernet_i0/mac_test0/n46_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_025 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_027 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38417,\ethernet_i0/mac_test0/n46_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r1_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38452,\ethernet_i0/mac_test0/n46_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_001 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_003 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38487,\ethernet_i0/mac_test0/n46_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38522,\ethernet_i0/mac_test0/n46_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38557,\ethernet_i0/mac_test0/n46_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38592,\ethernet_i0/mac_test0/n46_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_017 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_019 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38627,\ethernet_i0/mac_test0/n46_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38662,\ethernet_i0/mac_test0/n46_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_025 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_027 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38697,\ethernet_i0/mac_test0/n46_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r2_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38732,\ethernet_i0/mac_test0/n46_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_001 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_003 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38767,\ethernet_i0/mac_test0/n46_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38802,\ethernet_i0/mac_test0/n46_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38837,\ethernet_i0/mac_test0/n46_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38872,\ethernet_i0/mac_test0/n46_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_017 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_019 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38907,\ethernet_i0/mac_test0/n46_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38942,\ethernet_i0/mac_test0/n46_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_025 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_027 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n38977,\ethernet_i0/mac_test0/n46_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r3_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39012,\ethernet_i0/mac_test0/n46_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_001 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_003 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39047,\ethernet_i0/mac_test0/n46_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39082,\ethernet_i0/mac_test0/n46_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39117,\ethernet_i0/mac_test0/n46_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39152,\ethernet_i0/mac_test0/n46_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_017 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_019 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39187,\ethernet_i0/mac_test0/n46_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39222,\ethernet_i0/mac_test0/n46_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_025 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_027 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39257,\ethernet_i0/mac_test0/n46_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r4_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39292,\ethernet_i0/mac_test0/n46_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_001 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_003 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39327,\ethernet_i0/mac_test0/n46_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39362,\ethernet_i0/mac_test0/n46_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39397,\ethernet_i0/mac_test0/n46_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39432,\ethernet_i0/mac_test0/n46_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_017 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_019 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39467,\ethernet_i0/mac_test0/n46_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39502,\ethernet_i0/mac_test0/n46_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_025 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_027 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39537,\ethernet_i0/mac_test0/n46_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r5_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39572,\ethernet_i0/mac_test0/n46_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_001 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_003 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39607,\ethernet_i0/mac_test0/n46_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39642,\ethernet_i0/mac_test0/n46_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39677,\ethernet_i0/mac_test0/n46_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39712,\ethernet_i0/mac_test0/n46_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_017 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_019 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39747,\ethernet_i0/mac_test0/n46_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39782,\ethernet_i0/mac_test0/n46_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_025 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_027 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39817,\ethernet_i0/mac_test0/n46_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r6_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i6_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39852,\ethernet_i0/mac_test0/n46_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_001 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_003 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39887,\ethernet_i0/mac_test0/n46_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_005 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_007 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39922,\ethernet_i0/mac_test0/n46_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_009 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_011 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39957,\ethernet_i0/mac_test0/n46_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_013 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_015 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n39992,\ethernet_i0/mac_test0/n46_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_017 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_019 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n40027,\ethernet_i0/mac_test0/n46_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_021 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_023 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n40062,\ethernet_i0/mac_test0/n46_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_025 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_027 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_A [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_A [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_A [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_A [3]}),
.e({open_n40097,\ethernet_i0/mac_test0/n46_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_029 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_A_r7_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_031 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i7_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40132,\ethernet_i0/mac_test0/n49_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_003 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40167,\ethernet_i0/mac_test0/n49_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_007 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40202,\ethernet_i0/mac_test0/n49_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_011 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40237,\ethernet_i0/mac_test0/n49_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_015 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40272,\ethernet_i0/mac_test0/n49_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_017 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_019 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40307,\ethernet_i0/mac_test0/n49_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40342,\ethernet_i0/mac_test0/n49_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_025 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_027 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40377,\ethernet_i0/mac_test0/n49_0_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r0_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_031 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40412,\ethernet_i0/mac_test0/n49_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_003 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40447,\ethernet_i0/mac_test0/n49_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_007 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40482,\ethernet_i0/mac_test0/n49_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_011 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40517,\ethernet_i0/mac_test0/n49_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_015 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40552,\ethernet_i0/mac_test0/n49_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_017 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_019 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40587,\ethernet_i0/mac_test0/n49_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40622,\ethernet_i0/mac_test0/n49_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_025 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_027 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40657,\ethernet_i0/mac_test0/n49_0_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r1_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_031 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40692,\ethernet_i0/mac_test0/n49_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_003 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40727,\ethernet_i0/mac_test0/n49_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_007 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40762,\ethernet_i0/mac_test0/n49_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_011 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40797,\ethernet_i0/mac_test0/n49_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_015 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40832,\ethernet_i0/mac_test0/n49_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_017 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_019 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40867,\ethernet_i0/mac_test0/n49_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40902,\ethernet_i0/mac_test0/n49_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_025 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_027 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40937,\ethernet_i0/mac_test0/n49_0_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r2_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_031 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i2_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n40972,\ethernet_i0/mac_test0/n49_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_003 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41007,\ethernet_i0/mac_test0/n49_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_007 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41042,\ethernet_i0/mac_test0/n49_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_011 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41077,\ethernet_i0/mac_test0/n49_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_015 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41112,\ethernet_i0/mac_test0/n49_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_017 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_019 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41147,\ethernet_i0/mac_test0/n49_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41182,\ethernet_i0/mac_test0/n49_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_025 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_027 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41217,\ethernet_i0/mac_test0/n49_0_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r3_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_031 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i3_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41252,\ethernet_i0/mac_test0/n49_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_003 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41287,\ethernet_i0/mac_test0/n49_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_007 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41322,\ethernet_i0/mac_test0/n49_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_011 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41357,\ethernet_i0/mac_test0/n49_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_015 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41392,\ethernet_i0/mac_test0/n49_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_017 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_019 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41427,\ethernet_i0/mac_test0/n49_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41462,\ethernet_i0/mac_test0/n49_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_025 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_027 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41497,\ethernet_i0/mac_test0/n49_1_0_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r4_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_031 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i4_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41532,\ethernet_i0/mac_test0/n49_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_003 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41567,\ethernet_i0/mac_test0/n49_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_007 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41602,\ethernet_i0/mac_test0/n49_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_011 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41637,\ethernet_i0/mac_test0/n49_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_015 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41672,\ethernet_i0/mac_test0/n49_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_017 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_019 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41707,\ethernet_i0/mac_test0/n49_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41742,\ethernet_i0/mac_test0/n49_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_025 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_027 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41777,\ethernet_i0/mac_test0/n49_1_0_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r5_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_031 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i5_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41812,\ethernet_i0/mac_test0/n49_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_003 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41847,\ethernet_i0/mac_test0/n49_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_007 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41882,\ethernet_i0/mac_test0/n49_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_011 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41917,\ethernet_i0/mac_test0/n49_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_015 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41952,\ethernet_i0/mac_test0/n49_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_017 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_019 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n41987,\ethernet_i0/mac_test0/n49_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n42022,\ethernet_i0/mac_test0/n49_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_025 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_027 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n42057,\ethernet_i0/mac_test0/n49_1_1_0 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r6_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_031 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i6_030 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_l (
.a({fm_data_ethernet[0],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[1],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[2],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[3],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n42092,\ethernet_i0/mac_test0/n49_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_001 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_000 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c0_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_003 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_002 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_l (
.a({fm_data_ethernet[4],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[5],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[6],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[7],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n42127,\ethernet_i0/mac_test0/n49_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_005 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_004 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c1_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_007 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_006 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_l (
.a({fm_data_ethernet[8],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[9],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[10],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[11],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n42162,\ethernet_i0/mac_test0/n49_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_009 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_008 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c2_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_011 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_010 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_l (
.a({fm_data_ethernet[12],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[13],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[14],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[15],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n42197,\ethernet_i0/mac_test0/n49_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_013 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_012 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c3_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_015 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_014 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_l (
.a({fm_data_ethernet[16],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[17],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[18],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[19],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n42232,\ethernet_i0/mac_test0/n49_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_017 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_016 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c4_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_019 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_018 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_l (
.a({fm_data_ethernet[20],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[21],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[22],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[23],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n42267,\ethernet_i0/mac_test0/n49_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_021 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_020 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c5_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_023 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_022 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_l (
.a({fm_data_ethernet[24],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[25],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[26],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[27],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n42302,\ethernet_i0/mac_test0/n49_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_025 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_024 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c6_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_027 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_026 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_l (
.a({fm_data_ethernet[28],\ethernet_i0/mac_test0/count_B [0]}),
.b({fm_data_ethernet[29],\ethernet_i0/mac_test0/count_B [1]}),
.c({fm_data_ethernet[30],\ethernet_i0/mac_test0/count_B [2]}),
.clk(clk_fm_ethernet_gclk_net),
.d({fm_data_ethernet[31],\ethernet_i0/mac_test0/count_B [3]}),
.e({open_n42337,\ethernet_i0/mac_test0/n49_1_1_1 }),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_di ),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_we ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_m0 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_di [1:0]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_029 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_028 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_m1 (
.a({\ethernet_i0/mac_test0/i [0],\ethernet_i0/mac_test0/i [0]}),
.b({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [1]}),
.c({\ethernet_i0/mac_test0/i [2],\ethernet_i0/mac_test0/i [2]}),
.d({\ethernet_i0/mac_test0/i [3],\ethernet_i0/mac_test0/i [3]}),
.dpram_di(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_di [3:2]),
.dpram_mode(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_mode ),
.dpram_waddr(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_waddr ),
.dpram_wclk(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_wclk ),
.dpram_we(\ethernet_i0/mac_test0/al_ram_Buff_B_r7_c7_we ),
.f({\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_031 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i7_030 }));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(308)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/almost_full_d0_reg|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b13 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/almost_full ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [29]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/almost_full_d0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [13]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/gmii_tx_en_reg (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n42411,\ethernet_i0/mac_test0/gmii_tx_en_tmp }),
.sr(RSTn_pad),
.q({open_n42428,\ethernet_i0/gmii_tx_en })); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*C*B*A)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(1*~D*C*B*A)"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u255|ethernet_i0/mac_test0/mac_top0/_al_u565 (
.a({open_n42429,\ethernet_i0/mac_test0/mac_top0/_al_u505_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [7],\ethernet_i0/mac_test0/mac_top0/_al_u413_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [8],\ethernet_i0/mac_test0/mac_top0/_al_u256_o }),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [5],\ethernet_i0/mac_test0/mac_top0/icmp0/state [5]}),
.e({open_n42432,\ethernet_i0/mac_test0/mac_top0/icmp0/state [7]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u255_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n37_lutinv }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*~C*B*~A)"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u257|ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b11 (
.a({open_n42453,\ethernet_i0/mac_test0/mac_top0/_al_u549_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u255_o ,\ethernet_i0/mac_test0/mac_top0/_al_u534_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u256_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u254_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.mi({open_n42458,\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [11]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ,\ethernet_i0/mac_test0/mac_top0/_al_u550_o }),
.q({open_n42473,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [11]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*A)"),
//.LUT1("(~C*B*~D)"),
.INIT_LUT0(16'b0000000000100000),
.INIT_LUT1(16'b0000000000001100),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u316|ethernet_i0/mac_test0/mac_top0/_al_u420 (
.a({open_n42474,\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 }),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/state [1:0]),
.c(\ethernet_i0/mac_test0/mac_top0/icmp0/state [2:1]),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u316_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u317|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b23 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [23]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u316_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u317_o ,open_n42512}),
.q({open_n42516,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [23]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.INIT_LUTF0(16'b1101111111111111),
.INIT_LUTF1(16'b1101111111111111),
.INIT_LUTG0(16'b0001110000001100),
.INIT_LUTG1(16'b0001110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u321|ethernet_i0/mac_test0/mac_top0/_al_u374 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [10:9]),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.e(\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [10:9]),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u321_o ,\ethernet_i0/mac_test0/mac_top0/_al_u374_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u322 (
.c({open_n42543,\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({open_n42546,\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.f({open_n42560,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.INIT_LUTF0(16'b1101111111111111),
.INIT_LUTF1(16'b1101111111111111),
.INIT_LUTG0(16'b0001110000001100),
.INIT_LUTG1(16'b0001110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u324|ethernet_i0/mac_test0/mac_top0/_al_u372 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [11],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [8]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [11],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [8]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u324_o ,\ethernet_i0/mac_test0/mac_top0/_al_u372_o }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1101111111111111),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b0001110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u326|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [12],\ethernet_i0/mac_test0/mac_top0/_al_u328_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [5]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [12],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [13]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u326_o ,open_n42602}),
.q({open_n42606,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [13]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1101111111111111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u328|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b12 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [13],open_n42607}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],open_n42608}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [12]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [13],open_n42610}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u328_o ,open_n42625}),
.q({open_n42629,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [12]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1101111111111111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u330|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b11 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [14],open_n42630}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],open_n42631}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [14],open_n42633}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u330_o ,open_n42648}),
.q({open_n42652,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [11]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1101111111111111),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b0001110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u332|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b15 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [15],\ethernet_i0/mac_test0/mac_top0/_al_u332_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [7]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [15],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [15]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u332_o ,open_n42667}),
.q({open_n42671,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [15]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1101111111111111),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b0001110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u334|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b17 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [16],\ethernet_i0/mac_test0/mac_top0/_al_u336_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [9]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [16],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [17]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u334_o ,open_n42686}),
.q({open_n42690,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [17]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.INIT_LUTF0(16'b1101111111111111),
.INIT_LUTF1(16'b1101111111111111),
.INIT_LUTG0(16'b0001110000001100),
.INIT_LUTG1(16'b0001110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u336|ethernet_i0/mac_test0/mac_top0/_al_u345 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [17],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [21]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [17],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [21]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u336_o ,\ethernet_i0/mac_test0/mac_top0/_al_u345_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.INIT_LUT0(16'b1101111111111111),
.INIT_LUT1(16'b0001110000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/_al_u338 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [18],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [18]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.mi({open_n42725,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [18]}),
.fx({open_n42730,\ethernet_i0/mac_test0/mac_top0/_al_u338_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.INIT_LUTF0(16'b1101111111111111),
.INIT_LUTF1(16'b1101111111111111),
.INIT_LUTG0(16'b0001110000001100),
.INIT_LUTG1(16'b0001110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u340|ethernet_i0/mac_test0/mac_top0/_al_u347 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [19],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [22]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [19],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [22]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u340_o ,\ethernet_i0/mac_test0/mac_top0/_al_u347_o }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1101111111111111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u343|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [20],open_n42755}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],open_n42756}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [20],open_n42758}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u343_o ,open_n42773}),
.q({open_n42777,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [7]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.INIT_LUTF0(16'b1101111111111111),
.INIT_LUTF1(16'b1101111111111111),
.INIT_LUTG0(16'b0001110000001100),
.INIT_LUTG1(16'b0001110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u349|ethernet_i0/mac_test0/mac_top0/_al_u355 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [23],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [26]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [23],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [26]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u349_o ,\ethernet_i0/mac_test0/mac_top0/_al_u355_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.INIT_LUT0(16'b1101111111111111),
.INIT_LUT1(16'b0001110000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/_al_u351 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [24],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [24]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.mi({open_n42812,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [24]}),
.fx({open_n42817,\ethernet_i0/mac_test0/mac_top0/_al_u351_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.INIT_LUT0(16'b1101111111111111),
.INIT_LUT1(16'b0001110000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/_al_u353 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [25],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [25]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.mi({open_n42832,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [25]}),
.fx({open_n42837,\ethernet_i0/mac_test0/mac_top0/_al_u353_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.INIT_LUTF0(16'b1101111111111111),
.INIT_LUTF1(16'b1101111111111111),
.INIT_LUTG0(16'b0001110000001100),
.INIT_LUTG1(16'b0001110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u357|ethernet_i0/mac_test0/mac_top0/_al_u359 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [27],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [28]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [27],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [28]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u357_o ,\ethernet_i0/mac_test0/mac_top0/_al_u359_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.INIT_LUT0(16'b1101111111111111),
.INIT_LUT1(16'b0001110000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/_al_u361 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [29],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [29]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.mi({open_n42874,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [29]}),
.fx({open_n42879,\ethernet_i0/mac_test0/mac_top0/_al_u361_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.INIT_LUT0(16'b1101111111111111),
.INIT_LUT1(16'b0001110000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/_al_u364 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [30],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [30]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.mi({open_n42894,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [30]}),
.fx({open_n42899,\ethernet_i0/mac_test0/mac_top0/_al_u364_o }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1101111111111111),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b0001110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u366|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b31 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [31],\ethernet_i0/mac_test0/mac_top0/_al_u366_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [23]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [31],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [31]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u366_o ,open_n42916}),
.q({open_n42920,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [31]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(390)
EG_PHY_MSLICE #(
//.LUT0("(D*(C@B))"),
//.LUT1("(~C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011110000000000),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u376|ethernet_i0/mac_test0/mac_top0/icmp0/reg10_b1 (
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [1:0]),
.c(\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [2:1]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/n34 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,open_n42936}),
.q({open_n42940,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [1]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(390)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(390)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u377|ethernet_i0/mac_test0/mac_top0/icmp0/reg10_b0 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [1],open_n42943}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [2],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/n34 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,open_n42961}),
.q({open_n42965,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [0]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(390)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u416|ethernet_i0/mac_test0/mac_top0/_al_u543 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [7],\ethernet_i0/mac_test0/mac_top0/_al_u533_o }),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [5],\ethernet_i0/mac_test0/mac_top0/_al_u540_o }),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u416_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n69 }));
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~B*~D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u417|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b22 (
.b({open_n42992,\ethernet_i0/mac_test0/mac_top0/icmp0/state [11]}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u416_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [9]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n67 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u254_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [10]}),
.mi({open_n42996,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u417_o ,\ethernet_i0/mac_test0/mac_top0/_al_u519_o }),
.q({open_n43011,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [22]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u418|ethernet_i0/mac_test0/mac_top0/_al_u518 (
.b({open_n43014,\ethernet_i0/mac_test0/mac_top0/_al_u413_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u256_o ,\ethernet_i0/mac_test0/mac_top0/_al_u256_o }),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u413_o ,\ethernet_i0/mac_test0/mac_top0/_al_u255_o }),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u418_o ,\ethernet_i0/mac_test0/mac_top0/_al_u518_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u530|ethernet_i0/mac_test0/mac_top0/_al_u529 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [12],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [6]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [13],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [14],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [8]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [15],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [9]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u530_o ,\ethernet_i0/mac_test0/mac_top0/_al_u529_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u531|ethernet_i0/mac_test0/mac_top0/_al_u603 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u529_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [12]}),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u530_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [10],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [14]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [11],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [15]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u531_o ,\ethernet_i0/mac_test0/mac_top0/_al_u603_o }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(215)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C@B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*~(C@B))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100001100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u537|ethernet_i0/mac_test0/mac_top0/icmp0/reg0_b4 (
.b({open_n43085,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_10_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u531_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n45_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_0_sel_is_2_o ,open_n43103}),
.q({open_n43107,\ethernet_i0/mac_test0/mac_top0/icmp0/ram_write_addr [4]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(215)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u539|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b3 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,open_n43110}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u538_o ,\ethernet_i0/mac_test0/mac_top0/_al_u519_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n71 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/_al_u518_o }),
.mi({open_n43121,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n75 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.q({open_n43125,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [3]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u545|ethernet_i0/mac_test0/mac_top0/_al_u507 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u418_o ,\ethernet_i0/mac_test0/mac_top0/_al_u505_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u505_o ,\ethernet_i0/mac_test0/mac_top0/_al_u506_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [5],\ethernet_i0/mac_test0/mac_top0/_al_u256_o }),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [7],\ethernet_i0/mac_test0/mac_top0/_al_u416_o }),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n36_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n45_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*B*~A)"),
//.LUT1("(~1*~D*~C*B*~A)"),
.INIT_LUT0(16'b0000000000000100),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/_al_u548 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.mi({open_n43158,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.fx({open_n43163,\ethernet_i0/mac_test0/mac_top0/_al_u548_o }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(190)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u549|ethernet_i0/mac_test0/mac_top0/icmp0/icmp_tx_ready_reg (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u548_o ,open_n43166}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7],\ethernet_i0/mac_test0/mac_top0/_al_u418_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/icmp0/state [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/_al_u417_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u549_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n38 }),
.q({open_n43183,\ethernet_i0/mac_test0/mac_top0/icmp_tx_ready })); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(190)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~A)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~D*~C*B*~A)"),
//.LUTG1("(~C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u553|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b2 (
.a({open_n43184,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [10]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n71 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u552_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [11]}),
.mi({open_n43188,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u553_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1009_o }),
.q({open_n43203,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [2]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
//.LUT1("(~(D@B)*~(C@A))"),
.INIT_LUT0(16'b1000010000100001),
.INIT_LUT1(16'b1000010000100001),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u592|ethernet_i0/mac_test0/mac_top0/_al_u593 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [3:2]),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [6],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [9]}),
.c(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [3:2]),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [6],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [9]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u592_o ,\ethernet_i0/mac_test0/mac_top0/_al_u593_o }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(~(D@B)*~(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u594|ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [11],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [5],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [11],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n31 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [5],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [7]}),
.mi({open_n43227,\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u594_o ,\ethernet_i0/mac_test0/mac_top0/_al_u595_o }),
.q({open_n43242,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [1]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C@B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*~(C@B))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1100001100000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1100001100000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u596|ethernet_i0/mac_test0/mac_top0/_al_u763 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u592_o ,open_n43243}),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u593_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4]}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u594_o ,\ethernet_i0/mac_test0/mac_top0/n0 [3]}),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u595_o ,\ethernet_i0/mac_test0/mac_top0/_al_u762_o }),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u596_o ,\ethernet_i0/mac_test0/mac_top0/_al_u763_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0@C)*~(D@B))"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(A*~(1@C)*~(D@B))"),
//.LUTG1("(~(D@B)*~(C@A))"),
.INIT_LUTF0(16'b0000100000000010),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b1000000000100000),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u597|ethernet_i0/mac_test0/mac_top0/_al_u598 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [12],\ethernet_i0/mac_test0/mac_top0/_al_u597_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [4],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [12],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [8]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [13]}),
.e({open_n43270,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [8]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u597_o ,\ethernet_i0/mac_test0/mac_top0/_al_u598_o }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
//.LUT1("(~(D@B)*~(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000010000100001),
.INIT_LUT1(16'b1000010000100001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u599|ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [10],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [1]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [14],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [15]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [10],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n31 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [14],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [15]}),
.mi({open_n43301,\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u599_o ,\ethernet_i0/mac_test0/mac_top0/_al_u600_o }),
.q({open_n43305,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [0]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(D*~A*~(0*C*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*~A*~(1*C*B))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0101010100000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u602|ethernet_i0/mac_test0/mac_top0/_al_u786 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [6],\ethernet_i0/mac_test0/mac_top0/_al_u784_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [7],\ethernet_i0/mac_test0/mac_top0/_al_u755_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [8],\ethernet_i0/mac_test0/mac_top0/_al_u761_o }),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [9],\ethernet_i0/mac_test0/mac_top0/_al_u785_o }),
.e({open_n43308,\ethernet_i0/mac_test0/mac_top0/_al_u763_o }),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u602_o ,\ethernet_i0/mac_test0/mac_top0/_al_u786_o }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1010001010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u627|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b10 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u624_o ,open_n43329}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,open_n43330}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [9],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [10]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [9],\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u627_o ,open_n43344}),
.q({open_n43348,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [10]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_MSLICE #(
//.LUT0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1010001010000000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u628|ethernet_i0/mac_test0/mac_top0/_al_u728 (
.a({open_n43349,\ethernet_i0/mac_test0/mac_top0/_al_u624_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [1],\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [9],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [13]}),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [13]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b9/B1_1 ,\ethernet_i0/mac_test0/mac_top0/_al_u728_o }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG1("(D*~(C@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b1100110011111010),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u629|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b8 (
.a({open_n43370,\ethernet_i0/mac_test0/mac_top0/_al_u631_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b8/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/_al_u633_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [9],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u629_o ,open_n43387}),
.q({open_n43391,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [8]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG0("(C*D)"),
//.LUTG1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1010001010000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1010001010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u631|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b16 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u624_o ,open_n43392}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,open_n43393}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [8],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [16]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [8],\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u631_o ,open_n43411}),
.q({open_n43415,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [16]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u632|ethernet_i0/mac_test0/mac_top0/_al_u741 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [0],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [8],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [10]}),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b8/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b10/B1_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C@B))"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(D*~(C@B))"),
//.LUTG1("(D*~(C@B))"),
.INIT_LUTF0(16'b1100001100000000),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b1100001100000000),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u633|ethernet_i0/mac_test0/mac_top0/_al_u742 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [8],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [10]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u633_o ,\ethernet_i0/mac_test0/mac_top0/_al_u742_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u635|ethernet_i0/mac_test0/mac_top0/_al_u647 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [7],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [7],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [3]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [7],\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [3]}));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D*(B*~(0)*~(A)+B*0*~(A)+~(B)*0*A+B*0*A)))"),
//.LUTF1("(A*~(~D*(C@B)))"),
//.LUTG0("~(~C*~(D*(B*~(1)*~(A)+B*1*~(A)+~(B)*1*A+B*1*A)))"),
//.LUTG1("(A*~(~D*(C@B)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111010011110000),
.INIT_LUTF1(16'b1010101010000010),
.INIT_LUTG0(16'b1111111011110000),
.INIT_LUTG1(16'b1010101010000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u636|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [7],\ethernet_i0/mac_test0/mac_top0/icmp0/n63_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/_al_u745_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/_al_u316_o }),
.e({open_n43494,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u636_o ,open_n43509}),
.q({open_n43513,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [0]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D*(B*~(0)*~(A)+B*0*~(A)+~(B)*0*A+B*0*A)))"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("~(~C*~(D*(B*~(1)*~(A)+B*1*~(A)+~(B)*1*A+B*1*A)))"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111010011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1111111011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u638|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b7 (
.a({open_n43514,\ethernet_i0/mac_test0/mac_top0/icmp0/n63_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [6],\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [6],\ethernet_i0/mac_test0/mac_top0/_al_u636_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/_al_u316_o }),
.e({open_n43515,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [6],open_n43530}),
.q({open_n43534,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [7]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(A*~(~D*(C@B)))"),
//.LUTG0("(C*D)"),
//.LUTG1("(A*~(~D*(C@B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1010101010000010),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1010101010000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u639|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [6],open_n43535}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],open_n43536}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u639_o ,open_n43554}),
.q({open_n43558,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [0]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u641|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b7 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [5],open_n43561}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [5],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [5],open_n43579}),
.q({open_n43583,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [7]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*(C@B)))"),
//.LUTF1("(A*~(~D*(C@B)))"),
//.LUTG0("(A*~(~D*(C@B)))"),
//.LUTG1("(A*~(~D*(C@B)))"),
.INIT_LUTF0(16'b1010101010000010),
.INIT_LUTF1(16'b1010101010000010),
.INIT_LUTG0(16'b1010101010000010),
.INIT_LUTG1(16'b1010101010000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u642|ethernet_i0/mac_test0/mac_top0/_al_u702 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [5],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [1]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u642_o ,\ethernet_i0/mac_test0/mac_top0/_al_u702_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u644|ethernet_i0/mac_test0/mac_top0/_al_u701 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [4],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [4],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [4],\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [1]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*(C@B)))"),
//.LUTF1("(A*~(~D*(C@B)))"),
//.LUTG0("(A*~(~D*(C@B)))"),
//.LUTG1("(A*~(~D*(C@B)))"),
.INIT_LUTF0(16'b1010101010000010),
.INIT_LUTF1(16'b1010101010000010),
.INIT_LUTG0(16'b1010101010000010),
.INIT_LUTG1(16'b1010101010000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u645|ethernet_i0/mac_test0/mac_top0/_al_u648 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [4:3]),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u645_o ,\ethernet_i0/mac_test0/mac_top0/_al_u648_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUT0(16'b1010001010000000),
.INIT_LUT1(16'b1010001010000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u654|ethernet_i0/mac_test0/mac_top0/_al_u669 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u624_o ,\ethernet_i0/mac_test0/mac_top0/_al_u624_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [30],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [27]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [30],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [27]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u654_o ,\ethernet_i0/mac_test0/mac_top0/_al_u669_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u658|ethernet_i0/mac_test0/mac_top0/_al_u744 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [2],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [2],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [0]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [2],\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [0]}));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*(C@B)))"),
//.LUT1("(A*~(~D*(C@B)))"),
.INIT_LUT0(16'b1010101010000010),
.INIT_LUT1(16'b1010101010000010),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u659|ethernet_i0/mac_test0/mac_top0/_al_u745 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [2],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u659_o ,\ethernet_i0/mac_test0/mac_top0/_al_u745_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUT0(16'b1010001010000000),
.INIT_LUT1(16'b1010001010000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u661|ethernet_i0/mac_test0/mac_top0/_al_u665 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u624_o ,\ethernet_i0/mac_test0/mac_top0/_al_u624_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.c(\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [29:28]),
.d(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [29:28]),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u661_o ,\ethernet_i0/mac_test0/mac_top0/_al_u665_o }));
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C@B))"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(D*~(C@B))"),
//.LUTG1("(D*~(C@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001100000000),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b1100001100000000),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u671|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b2 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [27],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [28]}),
.mi({open_n43741,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u671_o ,\ethernet_i0/mac_test0/mac_top0/_al_u667_o }),
.q({open_n43756,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [2]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTF1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUTF0(16'b1010001010000000),
.INIT_LUTF1(16'b1010001010000000),
.INIT_LUTG0(16'b1010001010000000),
.INIT_LUTG1(16'b1010001010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u673|ethernet_i0/mac_test0/mac_top0/_al_u693 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u624_o ,\ethernet_i0/mac_test0/mac_top0/_al_u624_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [26],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [21]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [26],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [21]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u673_o ,\ethernet_i0/mac_test0/mac_top0/_al_u693_o }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011111010),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u674|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b27 (
.a({open_n43781,\ethernet_i0/mac_test0/mac_top0/_al_u669_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [18],\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b27/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [26],\ethernet_i0/mac_test0/mac_top0/_al_u671_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b26/B1_1 ,open_n43798}),
.q({open_n43802,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [27]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C@B))"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(D*~(C@B))"),
//.LUTG1("(D*~(C@B))"),
.INIT_LUTF0(16'b1100001100000000),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b1100001100000000),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u675|ethernet_i0/mac_test0/mac_top0/_al_u679 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [26:25]),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u675_o ,\ethernet_i0/mac_test0/mac_top0/_al_u679_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTF1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUTF0(16'b1010001010000000),
.INIT_LUTF1(16'b1010001010000000),
.INIT_LUTG0(16'b1010001010000000),
.INIT_LUTG1(16'b1010001010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u677|ethernet_i0/mac_test0/mac_top0/_al_u685 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u624_o ,\ethernet_i0/mac_test0/mac_top0/_al_u624_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [25],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [23]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [25],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [23]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u677_o ,\ethernet_i0/mac_test0/mac_top0/_al_u685_o }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u678|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b31 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [17],open_n43855}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [25],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [31]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b25/B1_1 ,open_n43873}),
.q({open_n43877,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [31]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C@B))"),
//.LUT1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUT0(16'b1100001100000000),
.INIT_LUT1(16'b1010001010000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u681|ethernet_i0/mac_test0/mac_top0/_al_u695 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u624_o ,open_n43878}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [24],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [24],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [21]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u681_o ,\ethernet_i0/mac_test0/mac_top0/_al_u695_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u682|ethernet_i0/mac_test0/reg1_b5 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [16],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [19]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [24],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [27]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.mi({open_n43905,\ethernet_i0/mac_test0/gmii_txd_tmp [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b24/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b27/B1_1 }),
.q({open_n43920,\ethernet_i0/gmii_txd [5]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C@B))"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(D*~(C@B))"),
//.LUTG1("(D*~(C@B))"),
.INIT_LUTF0(16'b1100001100000000),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b1100001100000000),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u683|ethernet_i0/mac_test0/mac_top0/_al_u687 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [24:23]),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u683_o ,\ethernet_i0/mac_test0/mac_top0/_al_u687_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u686|ethernet_i0/mac_test0/mac_top0/_al_u694 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [15],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [23],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [21]}),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b23/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b21/B1_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTF1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUTF0(16'b1010001010000000),
.INIT_LUTF1(16'b1010001010000000),
.INIT_LUTG0(16'b1010001010000000),
.INIT_LUTG1(16'b1010001010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u689|ethernet_i0/mac_test0/mac_top0/_al_u720 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u624_o ,\ethernet_i0/mac_test0/mac_top0/_al_u624_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [22],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [15]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [22],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [15]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u689_o ,\ethernet_i0/mac_test0/mac_top0/_al_u720_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u690|ethernet_i0/mac_test0/mac_top0/_al_u721 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [14],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [22],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [15]}),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b22/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b15/B1_1 }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG1("(D*~(C@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b1100110011111010),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u691|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b15 (
.a({open_n44019,\ethernet_i0/mac_test0/mac_top0/_al_u720_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b15/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/_al_u722_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [22],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u691_o ,open_n44036}),
.q({open_n44040,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [15]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_MSLICE #(
//.LUT0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUT0(16'b1010001010000000),
.INIT_LUT1(16'b1010001010000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u697|ethernet_i0/mac_test0/mac_top0/_al_u704 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u624_o ,\ethernet_i0/mac_test0/mac_top0/_al_u624_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.c(\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [20:19]),
.d(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [20:19]),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u697_o ,\ethernet_i0/mac_test0/mac_top0/_al_u704_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C@B))"),
//.LUT1("(D*~(C@B))"),
.INIT_LUT0(16'b1100001100000000),
.INIT_LUT1(16'b1100001100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u699|ethernet_i0/mac_test0/mac_top0/_al_u706 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [20:19]),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u699_o ,\ethernet_i0/mac_test0/mac_top0/_al_u706_o }));
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(91)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/mac_test0/mac_top0/_al_u705|ethernet_i0/util_gmii_to_rgmii_m0/reg0_b1 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [11],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [12]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [19],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [20]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.mi({open_n44089,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [5]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b19/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b20/B1_1 }),
.q({open_n44105,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_low [1]})); // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(91)
EG_PHY_LSLICE #(
//.LUTF0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTF1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUTF0(16'b1010001010000000),
.INIT_LUTF1(16'b1010001010000000),
.INIT_LUTG0(16'b1010001010000000),
.INIT_LUTG1(16'b1010001010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u708|ethernet_i0/mac_test0/mac_top0/_al_u716 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u624_o ,\ethernet_i0/mac_test0/mac_top0/_al_u624_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [18],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [16]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [18],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [16]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u708_o ,\ethernet_i0/mac_test0/mac_top0/_al_u716_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u709|ethernet_i0/mac_test0/mac_top0/_al_u713 (
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [10:9]),
.c(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [18:17]),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b18/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b17/B1_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C@B))"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(D*~(C@B))"),
//.LUTG1("(D*~(C@B))"),
.INIT_LUTF0(16'b1100001100000000),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b1100001100000000),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u710|ethernet_i0/mac_test0/mac_top0/_al_u714 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [18:17]),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u710_o ,\ethernet_i0/mac_test0/mac_top0/_al_u714_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u717|ethernet_i0/mac_test0/mac_top0/_al_u737 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [8],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [16],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [11]}),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b16/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b11/B1_1 }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(D*~(C@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u722|ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b15 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/_al_u588_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/_al_u590_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [15],\ethernet_i0/mac_test0/mac_top0/_al_u586_o }),
.mi({open_n44209,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [15]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u722_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/sel0_b0/B4 }),
.q({open_n44224,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [15]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
EG_PHY_MSLICE #(
//.LUT0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUT0(16'b1010001010000000),
.INIT_LUT1(16'b1010001010000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u724|ethernet_i0/mac_test0/mac_top0/_al_u736 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u624_o ,\ethernet_i0/mac_test0/mac_top0/_al_u624_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [14],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [11]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [14],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [11]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u724_o ,\ethernet_i0/mac_test0/mac_top0/_al_u736_o }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011111010),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u725|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b16 (
.a({open_n44245,\ethernet_i0/mac_test0/mac_top0/_al_u716_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [6],\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b16/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [14],\ethernet_i0/mac_test0/mac_top0/_al_u718_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b14/B1_1 ,open_n44262}),
.q({open_n44266,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [16]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C@B))"),
//.LUT1("(D*~(C@B))"),
.INIT_LUT0(16'b1100001100000000),
.INIT_LUT1(16'b1100001100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u726|ethernet_i0/mac_test0/mac_top0/_al_u730 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [14:13]),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u726_o ,\ethernet_i0/mac_test0/mac_top0/_al_u730_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1010001010000000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u729|ethernet_i0/mac_test0/mac_top0/_al_u712 (
.a({open_n44289,\ethernet_i0/mac_test0/mac_top0/_al_u624_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [17]}),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [17]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b13/B1_1 ,\ethernet_i0/mac_test0/mac_top0/_al_u712_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTF1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG1("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUTF0(16'b1010001010000000),
.INIT_LUTF1(16'b1010001010000000),
.INIT_LUTG0(16'b1010001010000000),
.INIT_LUTG1(16'b1010001010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u732|ethernet_i0/mac_test0/mac_top0/_al_u740 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u624_o ,\ethernet_i0/mac_test0/mac_top0/_al_u624_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [12],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [10]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [12],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [10]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u732_o ,\ethernet_i0/mac_test0/mac_top0/_al_u740_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C@B))"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(D*~(C@B))"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1100001100000000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100001100000000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u733|ethernet_i0/mac_test0/mac_top0/_al_u718 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [4],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [12],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [16]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b12/B1_1 ,\ethernet_i0/mac_test0/mac_top0/_al_u718_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C@B))"),
//.LUT1("(D*~(C@B))"),
.INIT_LUT0(16'b1100001100000000),
.INIT_LUT1(16'b1100001100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u734|ethernet_i0/mac_test0/mac_top0/_al_u738 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.d(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [12:11]),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u734_o ,\ethernet_i0/mac_test0/mac_top0/_al_u738_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~D*B)*~(~C*A))"),
//.LUT1("(~(C*~B)*~(D*~A))"),
.INIT_LUT0(16'b1111010100110001),
.INIT_LUT1(16'b1000101011001111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u748|ethernet_i0/mac_test0/mac_top0/_al_u749 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [10],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [7],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/n0 [6],\ethernet_i0/mac_test0/mac_top0/n0 [0]}),
.d({\ethernet_i0/mac_test0/mac_top0/n0 [9],\ethernet_i0/mac_test0/mac_top0/n0 [12]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u748_o ,\ethernet_i0/mac_test0/mac_top0/_al_u749_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1000010000100001),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u750|ethernet_i0/mac_test0/mac_top0/_al_u770 (
.a({open_n44402,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [10]}),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u748_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [6]}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u749_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [10]}),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u747_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [6]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u750_o ,\ethernet_i0/mac_test0/mac_top0/_al_u770_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*~C)*~(~D*B))"),
//.LUTF1("(~(~D*B)*~(C*~A))"),
//.LUTG0("(A*~(1*~C)*~(~D*B))"),
//.LUTG1("(~(~D*B)*~(C*~A))"),
.INIT_LUTF0(16'b1010101000100010),
.INIT_LUTF1(16'b1010111100100011),
.INIT_LUTG0(16'b1010000000100000),
.INIT_LUTG1(16'b1010111100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u753|ethernet_i0/mac_test0/mac_top0/_al_u752 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [11],\ethernet_i0/mac_test0/mac_top0/_al_u751_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [6],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [12]}),
.c({\ethernet_i0/mac_test0/mac_top0/n0 [10],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [8]}),
.d({\ethernet_i0/mac_test0/mac_top0/n0 [5],\ethernet_i0/mac_test0/mac_top0/n0 [11]}),
.e({open_n44425,\ethernet_i0/mac_test0/mac_top0/n0 [7]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u753_o ,\ethernet_i0/mac_test0/mac_top0/_al_u752_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*~B)*~(C*~A))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b1000110010101111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u755|ethernet_i0/mac_test0/mac_top0/_al_u754 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u750_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [12]}),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u752_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [15]}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u753_o ,\ethernet_i0/mac_test0/mac_top0/n0 [11]}),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u754_o ,\ethernet_i0/mac_test0/mac_top0/n0 [14]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u755_o ,\ethernet_i0/mac_test0/mac_top0/_al_u754_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~D*B)*~(~C*A))"),
//.LUT1("(D*~(C*~B))"),
.INIT_LUT0(16'b1111010100110001),
.INIT_LUT1(16'b1100111100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u757|ethernet_i0/mac_test0/mac_top0/_al_u756 (
.a({open_n44466,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [6],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/n0 [5],\ethernet_i0/mac_test0/mac_top0/n0 [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u756_o ,\ethernet_i0/mac_test0/mac_top0/n0 [6]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u757_o ,\ethernet_i0/mac_test0/mac_top0/_al_u756_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*B)*~(C*~A))"),
//.LUTF1("(~(~D*B)*~(C*~A))"),
//.LUTG0("(~(~D*B)*~(C*~A))"),
//.LUTG1("(~(~D*B)*~(C*~A))"),
.INIT_LUTF0(16'b1010111100100011),
.INIT_LUTF1(16'b1010111100100011),
.INIT_LUTG0(16'b1010111100100011),
.INIT_LUTG1(16'b1010111100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u758|ethernet_i0/mac_test0/mac_top0/_al_u751 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [8],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [11]}),
.c({\ethernet_i0/mac_test0/mac_top0/n0 [2],\ethernet_i0/mac_test0/mac_top0/n0 [0]}),
.d({\ethernet_i0/mac_test0/mac_top0/n0 [7],\ethernet_i0/mac_test0/mac_top0/n0 [10]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u758_o ,\ethernet_i0/mac_test0/mac_top0/_al_u751_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*~C)*~(D*~B))"),
//.LUT1("(A*~(1*~C)*~(D*~B))"),
.INIT_LUT0(16'b1000100010101010),
.INIT_LUT1(16'b1000000010100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/_al_u759 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u758_o ,\ethernet_i0/mac_test0/mac_top0/_al_u758_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [13],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [9],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [9]}),
.d({\ethernet_i0/mac_test0/mac_top0/n0 [12],\ethernet_i0/mac_test0/mac_top0/n0 [12]}),
.mi({open_n44523,\ethernet_i0/mac_test0/mac_top0/n0 [8]}),
.fx({open_n44528,\ethernet_i0/mac_test0/mac_top0/_al_u759_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~B*~C+D*~B*C+~D*B*C+D*B*C)"),
//.LUTF1("(~(~D*B)*~(~C*A))"),
//.LUTG0("(D*~B*~C+D*~B*C+~D*B*C+D*B*C)"),
//.LUTG1("(~(~D*B)*~(~C*A))"),
.INIT_LUTF0(16'b1111001111000000),
.INIT_LUTF1(16'b1111010100110001),
.INIT_LUTG0(16'b1111001111000000),
.INIT_LUTG1(16'b1111010100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u760|ethernet_i0/miim_top_m0/miim_inst/mux5_rom0 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [15],open_n44531}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [9],\ethernet_i0/miim_top_m0/miim_inst/state [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/n0 [14],\ethernet_i0/miim_top_m0/miim_inst/state [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/n0 [8],\ethernet_i0/miim_top_m0/miim_inst/state [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u760_o ,\ethernet_i0/miim_top_m0/miim_inst/n7 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*A*~(0@D))"),
//.LUT1("(C*B*A*~(1@D))"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/_al_u761 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u757_o ,\ethernet_i0/mac_test0/mac_top0/_al_u757_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u759_o ,\ethernet_i0/mac_test0/mac_top0/_al_u759_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u760_o ,\ethernet_i0/mac_test0/mac_top0/_al_u760_o }),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2]}),
.mi({open_n44568,\ethernet_i0/mac_test0/mac_top0/n0 [1]}),
.fx({open_n44573,\ethernet_i0/mac_test0/mac_top0/_al_u761_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*B*A*~(~D*C))"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(~1*B*A*~(~D*C))"),
//.LUTG1("(~(D@B)*~(C@A))"),
.INIT_LUTF0(16'b1000100000001000),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u762|ethernet_i0/mac_test0/mac_top0/_al_u747 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [14],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [5],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/n0 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [10]}),
.d({\ethernet_i0/mac_test0/mac_top0/n0 [4],\ethernet_i0/mac_test0/mac_top0/n0 [9]}),
.e({open_n44578,\ethernet_i0/mac_test0/mac_top0/n0 [15]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u762_o ,\ethernet_i0/mac_test0/mac_top0/_al_u747_o }));
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u764|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b2 (
.a({open_n44599,\ethernet_i0/mac_test0/mac_top0/_al_u596_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u761_o ,\ethernet_i0/mac_test0/mac_top0/_al_u598_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u763_o ,\ethernet_i0/mac_test0/mac_top0/_al_u599_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u755_o ,\ethernet_i0/mac_test0/mac_top0/_al_u600_o }),
.mi({open_n44610,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u764_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n29 [11]}),
.q({open_n44614,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [2]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~D*B)*~(C@A))"),
//.LUT1("(~1*~(~D*B)*~(C@A))"),
.INIT_LUT0(16'b1010010100100001),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/_al_u765 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [5],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [5]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [9],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [9]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [5]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [9],\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [9]}),
.mi({open_n44627,\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [16]}),
.fx({open_n44632,\ethernet_i0/mac_test0/mac_top0/_al_u765_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*~B)*~(~C*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(D*~B)*~(~C*A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100010011110101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100010011110101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u768|ethernet_i0/mac_test0/mac_top0/_al_u767 (
.a({open_n44635,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u766_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [15]}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u767_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u765_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [15]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u768_o ,\ethernet_i0/mac_test0/mac_top0/_al_u767_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
//.LUT1("(~(D@B)*~(~C*A))"),
.INIT_LUT0(16'b1000010000100001),
.INIT_LUT1(16'b1100010000110001),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u769|ethernet_i0/mac_test0/mac_top0/_al_u776 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [13],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [14],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [11]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [14],\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [4]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/_al_u776_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D@C))"),
//.LUT1("~(C@D)"),
.INIT_LUT0(16'b1000000000001000),
.INIT_LUT1(16'b1111000000001111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u771|ethernet_i0/mac_test0/mac_top0/_al_u775 (
.a({open_n44680,\ethernet_i0/mac_test0/mac_top0/_al_u773_o }),
.b({open_n44681,\ethernet_i0/mac_test0/mac_top0/_al_u774_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [3],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u771_o ,\ethernet_i0/mac_test0/mac_top0/_al_u775_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(A)*~(B)*~(D)*~(0)+A*~(B)*~(D)*~(0)+~(A)*~(B)*~(D)*0+A*~(B)*~(D)*0+~(A)*B*~(D)*0+A*B*~(D)*0+~(A)*~(B)*D*0+~(A)*B*D*0))"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(C*(~(A)*~(B)*~(D)*~(1)+A*~(B)*~(D)*~(1)+~(A)*~(B)*~(D)*1+A*~(B)*~(D)*1+~(A)*B*~(D)*1+A*B*~(D)*1+~(A)*~(B)*D*1+~(A)*B*D*1))"),
//.LUTG1("(~(D@B)*~(C@A))"),
.INIT_LUTF0(16'b0000000000110000),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b0101000011110000),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u773|ethernet_i0/_al_u162 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2],\ethernet_i0/_al_u155_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [7],\ethernet_i0/_al_u161_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [2],\ethernet_i0/miim_top_m0/miim_inst/state [0]}),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [7],\ethernet_i0/miim_top_m0/miim_inst/state [1]}),
.e({open_n44704,\ethernet_i0/miim_top_m0/miim_inst/state [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u773_o ,\ethernet_i0/miim_top_m0/miim_inst/n6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0@D))"),
//.LUTF1("(~(D*~B)*~(C*~A))"),
//.LUTG0("(C*B*A*~(1@D))"),
//.LUTG1("(~(D*~B)*~(C*~A))"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b1000110010101111),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000110010101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u774|ethernet_i0/mac_test0/mac_top0/_al_u772 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [13],\ethernet_i0/mac_test0/mac_top0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [1],\ethernet_i0/mac_test0/mac_top0/_al_u771_o }),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [8]}),
.e({open_n44727,\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [8]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u774_o ,\ethernet_i0/mac_test0/mac_top0/_al_u772_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*~B)*~(~C*A))"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(~(D*~B)*~(~C*A))"),
//.LUTG1("(D*~(C@B))"),
.INIT_LUTF0(16'b1100010011110101),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b1100010011110101),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u777|ethernet_i0/mac_test0/mac_top0/_al_u766 (
.a({open_n44748,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [15]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [12],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [9]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [12],\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [15]}),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u776_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [9]}),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u777_o ,\ethernet_i0/mac_test0/mac_top0/_al_u766_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~1*D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/_al_u778|ethernet_i0/mac_test0/mac_top0/_al_u784 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u768_o ,\ethernet_i0/mac_test0/mac_top0/_al_u768_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u772_o ,\ethernet_i0/mac_test0/mac_top0/_al_u772_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u775_o ,\ethernet_i0/mac_test0/mac_top0/_al_u775_o }),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u777_o ,\ethernet_i0/mac_test0/mac_top0/_al_u777_o }),
.e({open_n44775,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n5_lutinv ,\ethernet_i0/mac_test0/mac_top0/_al_u784_o }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(236)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u830|ethernet_i0/mac_test0/mac_top0/icmp0/reg2_b2 (
.b({\ethernet_i0/mac_test0/mac_top0/_al_u254_o ,\ethernet_i0/mac_test0/mac_top0/_al_u254_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u255_o ,\ethernet_i0/mac_test0/mac_top0/_al_u255_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u829_o ,\ethernet_i0/mac_test0/mac_top0/_al_u414_o }),
.mi({open_n44802,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u830_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n34 }),
.q({open_n44817,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [2]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(236)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(~D*~B*~A*~(0*C))"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(~D*~B*~A*~(1*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b0000000000010001),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/_al_u831|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b30 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n36_lutinv ,open_n44818}),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u830_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [11]}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u518_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [9]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n65 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n37_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [10]}),
.e({\ethernet_i0/mac_test0/mac_top0/_al_u519_o ,open_n44819}),
.mi({open_n44821,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u831_o ,\ethernet_i0/mac_test0/mac_top0/_al_u254_o }),
.q({open_n44836,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [30]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_MSLICE #(
//.LUT0("~(~C*D)"),
//.LUT1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011111111),
.INIT_LUT1(16'b1111000011111111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b0|ethernet_i0/mac_test0/mac_top0/cache0/reg0_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [0],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [0],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [2]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*D)"),
//.LUTG0("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTG0(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b10 (
.c({open_n44863,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [10]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n44865,\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({open_n44887,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [10]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*D)"),
//.LUTG0("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTG0(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b11 (
.c({open_n44892,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n44894,\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({open_n44916,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [11]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b11 (
.a({open_n44917,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n44918,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [12],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [11]}),
.e({open_n44920,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [11]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [11]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b3 (
.a({open_n44940,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n44941,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [13],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [3]}),
.e({open_n44943,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [3]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b4 (
.a({open_n44963,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n44964,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [14],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [4]}),
.e({open_n44966,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [4]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b15|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b7 (
.a({open_n44986,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n44987,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [15],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [7]}),
.e({open_n44989,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [7]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b16|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b16 (
.a({open_n45009,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45010,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [16],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [16]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [16]}),
.e({open_n45012,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [16]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [16],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [16]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*D)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("~(~C*D)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111000011111111),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b17|ethernet_i0/mac_test0/mac_top0/cache0/reg0_b26 (
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [17],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [26]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [17],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [26]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*D)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("~(~C*D)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111000011111111),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b18|ethernet_i0/mac_test0/mac_top0/cache0/reg0_b25 (
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [18],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [25]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [18],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [25]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_MSLICE #(
//.LUT0("~(~C*D)"),
//.LUT1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011111111),
.INIT_LUT1(16'b1111000011111111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b1|ethernet_i0/mac_test0/mac_top0/cache0/reg0_b19 (
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [1],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [19]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [1],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [19]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*D)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("~(~C*D)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111000011111111),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b20|ethernet_i0/mac_test0/mac_top0/cache0/reg0_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [20],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [20],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [6]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b21|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b6 (
.a({open_n45132,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45133,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [21],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [6]}),
.e({open_n45135,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [6]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b22|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b30 (
.a({open_n45155,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45156,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [22],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [30]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [30]}),
.e({open_n45158,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [30]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [22],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [30]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b23|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b22 (
.a({open_n45178,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45179,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [23],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [22]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [22]}),
.e({open_n45181,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [22]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [23],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [22]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b24|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b25 (
.a({open_n45201,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45202,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [24],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [25]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [25]}),
.e({open_n45204,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [25]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [24],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [25]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b29|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b29 (
.a({open_n45224,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45225,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [29],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [29]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [29]}),
.e({open_n45227,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [29]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [29]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b30|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b40 (
.a({open_n45247,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45248,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [30],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [40]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [40]}),
.e({open_n45250,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [40]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [40]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*D)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("~(~C*D)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111000011111111),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b31|ethernet_i0/mac_test0/mac_top0/cache0/reg0_b34 (
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [31],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [34]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [31],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [34]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*D)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("~(~C*D)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111000011111111),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b32|ethernet_i0/mac_test0/mac_top0/cache0/reg0_b33 (
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [32],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [33]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [32],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [33]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b35|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b43 (
.a({open_n45322,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45323,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [35],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [43]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [43]}),
.e({open_n45325,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [43]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [35],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [43]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b36|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b44 (
.a({open_n45345,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45346,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [36],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [44]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [44]}),
.e({open_n45348,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [44]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [36],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [44]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b37|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b36 (
.a({open_n45368,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45369,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [37],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [36]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [36]}),
.e({open_n45371,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [36]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [37],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [36]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b38|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b38 (
.a({open_n45391,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45392,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [38],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [38]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [38]}),
.e({open_n45394,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [38]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [38],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [38]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b5 (
.a({open_n45414,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45415,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [3],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [5]}),
.e({open_n45417,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [5]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_MSLICE #(
//.LUT0("~(~C*D)"),
//.LUT1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011111111),
.INIT_LUT1(16'b1111000011111111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b40|ethernet_i0/mac_test0/mac_top0/cache0/reg0_b41 (
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [40],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [41]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [40],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [41]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b42|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b34 (
.a({open_n45459,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45460,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [42],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [34]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [34]}),
.e({open_n45462,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [34]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [42],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [34]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b43|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b46 (
.a({open_n45482,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45483,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [43],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [46]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [46]}),
.e({open_n45485,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [46]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [43],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [46]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b44|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b31 (
.a({open_n45505,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45506,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [44],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [31]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [31]}),
.e({open_n45508,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [31]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [44],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [31]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b46|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b39 (
.a({open_n45528,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45529,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [46],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [39]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [39]}),
.e({open_n45531,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [39]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [46],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [39]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b47|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b47 (
.a({open_n45551,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45552,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [47],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [47]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [47]}),
.e({open_n45554,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [47]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [47],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [47]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b35 (
.a({open_n45574,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45575,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [4],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [35]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [35]}),
.e({open_n45577,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [35]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [35]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("~(~C*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b28 (
.a({open_n45597,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({open_n45598,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [5],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [28]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [28]}),
.e({open_n45600,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [28]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [28]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_MSLICE #(
//.LUT0("~(~C*D)"),
//.LUT1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011111111),
.INIT_LUT1(16'b1111000011111111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b7|ethernet_i0/mac_test0/mac_top0/cache0/reg0_b9 (
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [7],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [7],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [9]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(41)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(D*C*B))"),
//.LUT1("~(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101010101010101),
.INIT_LUT1(16'b1111000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg0_b8|ethernet_i0/mac_test0/mac_top0/cache0/mac_not_exist_reg (
.a({open_n45642,\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.b({open_n45643,\ethernet_i0/mac_test0/mac_top0/_al_u617_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [8],\ethernet_i0/mac_test0/mac_top0/_al_u619_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/_al_u621_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [8],\ethernet_i0/mac_test0/mac_not_exist })); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(41)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b0|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b22 (
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [0],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [22]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [0],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [22]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b10 (
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n45696,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [10]}),
.sr(RSTn_pad),
.q({open_n45713,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [10]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b14|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b12 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u883_o ,open_n45716}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u882_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [14],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [12]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u884_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u763_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [14],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [12]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b1|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b19 (
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [1],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [19]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [1],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [19]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*~D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*~B*~D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000110000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000110000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b21|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b11 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u883_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u882_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [21],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [11]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u944_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u882_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [21],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [11]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b24|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b32 (
.a({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [24],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [25]}),
.b({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [16],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [17]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [24],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [32]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1061_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1043_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [24],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [32]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000010101100),
.INIT_LUTG0(16'b1111000010101100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b25 (
.a({open_n45793,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [33]}),
.b({open_n45794,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [41]}),
.c({open_n45795,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n45796,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({open_n45800,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [25]}),
.sr(RSTn_pad),
.f({open_n45812,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u938_o }),
.q({open_n45816,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [25]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1111000010101100),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1111000010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b26|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b30 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [34],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [26]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [42],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [18]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [26],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [30]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u925_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1032_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [26],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [30]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b27 (
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n45845,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [27]}),
.sr(RSTn_pad),
.q({open_n45862,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [27]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1111111110101010),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b29|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [29]}),
.b({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [37]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [45]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.e({open_n45863,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [29],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [13]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1002_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u900_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [29],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [13]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b2|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b23 (
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [2],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [23]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [2],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [23]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b34|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b31 (
.a({open_n45906,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u327_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [4]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [5]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u327_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_tx_ready }),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [34],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [31]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n25_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel0_b5/B4 }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [34],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [31]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000010101100),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1111000010101100),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b35|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b20 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [34],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [38]}),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [35],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [46]}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [36],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [37],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [35],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [20]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u610_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u892_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [35],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [20]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000010101100),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1111000010101100),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b36|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [45],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [35]}),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [46],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [43]}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [47],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [36],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u620_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u917_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [36],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [7]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1010000010001000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1010000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b37|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u970_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [27]}),
.b({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [15],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [19]}),
.c({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [37],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u971_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1021_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [37],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [6]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b38|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b43 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [6],open_n45973}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [5]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u326_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [4]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [38],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [43]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u327_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u637_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [38],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [43]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(1*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000101000100010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b3|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1015_o }),
.b({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [6],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [28]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [20]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1:0]),
.e({open_n45987,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [3],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u987_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1016_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [3],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [5]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(B*~(C)*~((~D*~A))+~(B)*C*~((~D*~A))+~(B)*C*(~D*~A))"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(B*~(C)*~((~D*~A))+~(B)*C*~((~D*~A))+~(B)*C*(~D*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0011110000111000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0011110000111000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b41|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b40 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp_tx_req ,\ethernet_i0/mac_test0/mac_top0/icmp_tx_req }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [3]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_req_tmp ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_req_tmp }),
.mi(\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [41:40]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1069_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u379_o }),
.q(\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [41:40])); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*~C*B*A)"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(1*~D*~C*B*A)"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b44|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b39 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u326_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u326_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u637_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u637_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [6],\ethernet_i0/mac_test0/mac_top0/icmp0/mac_send_end_d0 }),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [7:6]),
.e({open_n46020,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [7]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [44],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [39]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel0_b7/B1 }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [44],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [39]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("~((~B*~A)*~((~D*C))*~(0)+(~B*~A)*(~D*C)*~(0)+~((~B*~A))*(~D*C)*0+(~B*~A)*(~D*C)*0)"),
//.LUTF1("~(A*~((~C*~B))*~(D)+A*(~C*~B)*~(D)+~(A)*(~C*~B)*D+A*(~C*~B)*D)"),
//.LUTG0("~((~B*~A)*~((~D*C))*~(1)+(~B*~A)*(~D*C)*~(1)+~((~B*~A))*(~D*C)*1+(~B*~A)*(~D*C)*1)"),
//.LUTG1("~(A*~((~C*~B))*~(D)+A*(~C*~B)*~(D)+~(A)*(~C*~B)*D+A*(~C*~B)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111011101110),
.INIT_LUTF1(16'b1111110001010101),
.INIT_LUTG0(16'b1111111100001111),
.INIT_LUTG1(16'b1111110001010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b46|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b47 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1044_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1033_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1034_o }),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1:0]),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3:2]),
.e({open_n46036,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [46],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [47]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1045_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1035_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [46],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [47]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b48|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b51 (
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [0],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [48],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [51]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b49|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b52 (
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [1],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [49],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [52]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1010000010001000),
.INIT_LUTG0(16'b1111111110101010),
.INIT_LUTG1(16'b1010000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b4|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u970_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [31]}),
.b({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [39]}),
.c({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [47]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.e({open_n46102,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [4],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [9]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1046_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u878_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [4],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [9]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b50|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b58 (
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [2],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [10]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [50],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [58]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b55|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b54 (
.a({open_n46141,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u304_o }),
.b({open_n46142,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u257_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u270_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [0]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u302_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [1]}),
.mi(\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [7:6]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n25_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n22_lutinv }),
.q(\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [55:54])); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b57|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b59 (
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [9],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [11]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [57],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [59]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000010001000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1010000010001000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b61|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b60 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [56],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u874_o }),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [57],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [10]}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [58],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [2]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [59],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.mi(\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [13:12]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u263_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u928_o }),
.q(\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [61:60])); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~B*A)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~1*~D*~C*~B*A)"),
//.LUTG1("(~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b62|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b63 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [48],\ethernet_i0/mac_test0/mac_top0/_al_u263_o }),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [49],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [60]}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [50],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [61]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [51],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [62]}),
.e({open_n46204,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [63]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [14],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [15]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u265_o ,\ethernet_i0/mac_test0/mac_top0/_al_u264_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [62],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [63]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b65|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b67 (
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [17],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [19]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [65],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [67]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~((~B*~A))*C*~(D)+~((~B*~A))*~(C)*D+(~B*~A)*~(C)*D)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(~((~B*~A))*C*~(D)+~((~B*~A))*~(C)*D+(~B*~A)*~(C)*D)"),
//.LUTG1("(C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111100000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0000111111100000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b66|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b64 (
.a({open_n46247,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_req }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_req ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_req }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_req ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [0]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u300_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [18],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [16]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u331_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1078_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [66],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [64]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D))"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D))"),
//.LUTG1("(~C*~B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000111101010011),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b69|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b68 (
.a({open_n46265,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [8]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u926_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.e({open_n46266,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.mi(\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [21:20]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u927_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u948_o }),
.q(\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [69:68])); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~D*~(C*~(~B*~A)))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~D*~(C*~(~B*~A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000000000011111),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000000000011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b72|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b71 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u953_o ,open_n46282}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u954_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2:1]),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u870_o }),
.mi(\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [24:23]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u955_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u871_o }),
.q(\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [72:71])); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
//.LUT0("(A*(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000100010100000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b75|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b73 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [72],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u874_o }),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [73],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [74],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [8]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [75],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [27],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [25]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u261_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u956_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [75],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [73]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*C)*~(D*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~A*~(1*C)*~(D*B))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000101010101),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b77|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b76 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u258_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u928_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u259_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u871_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u260_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u872_o }),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u261_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [18]}),
.e({open_n46313,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [26]}),
.mi(\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [29:28]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u262_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u929_o }),
.q(\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [77:76])); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~D)"),
//.LUT1("(D*C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000011),
.INIT_LUT1(16'b0001000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b78|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b70 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [76],open_n46329}),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [77],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u948_o }),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [78],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [79],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u947_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [30],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [22]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u260_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u949_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [78],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [70]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTF1("(D*~C*~B*~A)"),
//.LUTG0("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTG1("(D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101001100000000),
.INIT_LUTF1(16'b0000000100000000),
.INIT_LUTG0(16'b0101001100000000),
.INIT_LUTG1(16'b0000000100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b79|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b74 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [64],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [16]}),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [65],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [24]}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [66],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [67],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [31],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [26]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u259_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u954_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [79],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [74]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010100011011),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/cache0/reg1_b8|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b42 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1061_o }),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [31],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [40]}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [32],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [32]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [33],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.e({open_n46360,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [8],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [42]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u611_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1062_o }),
.q({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [8],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [42]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add0/u0|icmp0/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add0/u0|icmp0/add0/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [0],1'b0}),
.b({1'b1,open_n46376}),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n46392,\ethernet_i0/gmii_txd [7]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [0],open_n46394}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c1 ),
.q({open_n46397,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [7]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add0/u0|icmp0/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add0/u10|icmp0/add0/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add0/u0|icmp0/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add0/u12|icmp0/add0/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add0/u0|icmp0/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add0/u14|icmp0/add0/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add0/u0|icmp0/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add0/u15_al_u886 (
.a({open_n46464,\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [15]}),
.b({open_n46465,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c15 ),
.f({open_n46484,\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add0/u0|icmp0/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add0/u2|icmp0/add0/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add0/u0|icmp0/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add0/u4|icmp0/add0/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add0/u0|icmp0/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add0/u6|icmp0/add0/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add0/u0|icmp0/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add0/u8|icmp0/add0/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add0/c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add1/u0|icmp0/add1/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add1/u0|icmp0/add1/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],1'b0}),
.b({1'b1,open_n46578}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [0],open_n46598}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add1/u0|icmp0/add1/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add1/u10|icmp0/add1/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add1/u0|icmp0/add1/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add1/u12|icmp0/add1/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add1/u0|icmp0/add1/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add1/u14|icmp0/add1/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add1/u0|icmp0/add1/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add1/u15_al_u887 (
.a({open_n46669,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [15]}),
.b({open_n46670,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c15 ),
.f({open_n46689,\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add1/u0|icmp0/add1/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add1/u2|icmp0/add1/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add1/u0|icmp0/add1/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add1/u4|icmp0/add1/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add1/u0|icmp0/add1/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add1/u6|icmp0/add1/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add1/u0|icmp0/add1/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add1/u8|icmp0/add1/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add1/c9 ));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add10/ucin_al_u871"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add10/u11_al_u874 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [13],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [14],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add10/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add10/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [14],\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add10/ucin_al_u871"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add10/u15_al_u875 (
.a({open_n46801,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [15]}),
.c(2'b00),
.d({open_n46806,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add10/c15 ),
.f({open_n46823,\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [15]}),
.fx({open_n46825,\ethernet_i0/mac_test0/mac_top0/icmp0/n117 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add10/ucin_al_u871"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add10/u3_al_u872 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [5],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [6],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add10/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add10/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [6],\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add10/ucin_al_u871"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add10/u7_al_u873 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [9],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [10],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add10/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [9],\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add10/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [10],\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add10/ucin_al_u871"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add10/ucin_al_u871 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [2],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [0]}),
.c(2'b00),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n67 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(2'b01),
.e({1'b0,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [16]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3:2]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [1],open_n46876}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add10/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [2],\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [0]}),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [11:10]));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add2/ucin_al_u839"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add2/u11_al_u842 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [6],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [13],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [11]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [14],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [12]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [14],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add2/ucin_al_u839"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add2/u15_al_u843 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [7]}),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [17],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [15]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [18],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [16]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [17],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [15]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c19 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [18],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add2/ucin_al_u839"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add2/u19_al_u844 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [21],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [19]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [22],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [20]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c19 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [21],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [19]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c23 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [22],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [20]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add2/ucin_al_u839"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add2/u23_al_u845 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [25],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [23]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [26],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [24]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c23 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [25],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [23]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c27 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [26],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [24]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add2/ucin_al_u839"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add2/u27_al_u846 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [29],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [27]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [30],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [28]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c27 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [29],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [27]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c31 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [30],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [28]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add2/ucin_al_u839"),
//.R_POSITION("X0Y4Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add2/u31_al_u847 (
.a({open_n46967,1'b0}),
.c(2'b00),
.d({open_n46972,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [31]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c31 ),
.f({open_n46989,\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [31]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add2/ucin_al_u839"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add2/u3_al_u840 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [5],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [3]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [6],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [4]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [6],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add2/ucin_al_u839"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add2/u7_al_u841 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [2],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [9],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [7]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [10],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [8]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [9],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [10],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add2/ucin_al_u839"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add2/ucin_al_u839 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [1],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [2],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [1],open_n47048}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add2/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [2],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add3/ucin_al_u857"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add3/u11_al_u860 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [21],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [19]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [22],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [20]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add3/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add3/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [14],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add3/ucin_al_u857"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add3/u15_al_u861 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [25],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [23]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [26],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [24]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add3/c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [17],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [15]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add3/c19 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [18],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add3/ucin_al_u857"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add3/u19_al_u862 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [29],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [27]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [30],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [28]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add3/c19 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [21],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [19]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add3/c23 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [22],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [20]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add3/ucin_al_u857"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add3/u23_al_u863 (
.a({open_n47105,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [31]}),
.c(2'b00),
.d({open_n47110,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add3/c23 ),
.f({open_n47127,\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [23]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add3/ucin_al_u857"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add3/u3_al_u858 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [13],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [14],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [12]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add3/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add3/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [6],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add3/ucin_al_u857"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add3/u7_al_u859 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [17],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [15]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [18],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [16]}),
.c(2'b00),
.d({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add3/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [9],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add3/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [10],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add3/ucin_al_u857"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add3/ucin_al_u857 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [9],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [10],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [8]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [1],open_n47186}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add3/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [2],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add5/ucin_al_u876"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add5/u11_al_u879 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [13],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [14],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [12]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [29],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [27]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [30],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [28]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add5/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add5/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [14],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add5/ucin_al_u876"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add5/u15_al_u880 (
.a({open_n47207,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [15]}),
.c(2'b00),
.d({open_n47212,\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [31]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add5/c15 ),
.f({open_n47229,\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [15]}),
.fx({open_n47231,\ethernet_i0/mac_test0/mac_top0/icmp0/n91 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add5/ucin_al_u876"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add5/u3_al_u877 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [5],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [6],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [21],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [19]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [22],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [20]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add5/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add5/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [6],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add5/ucin_al_u876"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add5/u7_al_u878 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [9],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [10],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [8]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [25],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [23]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [26],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [24]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add5/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [9],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add5/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [10],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add5/ucin_al_u876"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add5/ucin_al_u876 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [2],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [17],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [18],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [16]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [1],open_n47287}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add5/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [2],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add6/ucin_al_u881"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add6/u11_al_u884 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [13],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [14],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add6/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add6/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [14],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add6/ucin_al_u881"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add6/u15_al_u885 (
.a({open_n47308,\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [15]}),
.c(2'b00),
.d({open_n47313,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add6/c15 ),
.f({open_n47330,\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [15]}),
.fx({open_n47332,\ethernet_i0/mac_test0/mac_top0/icmp0/n94 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add6/ucin_al_u881"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add6/u3_al_u882 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [5],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [6],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add6/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add6/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [6],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add6/ucin_al_u881"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add6/u7_al_u883 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [9],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [10],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add6/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [9],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add6/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [10],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add6/ucin_al_u881"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add6/ucin_al_u881 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [2],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [0]}),
.c(2'b00),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(2'b01),
.e({1'b0,\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [16]}),
.mi(\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [4:3]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [1],open_n47384}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add6/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [2],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [0]}),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [4:3]));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add7/ucin_al_u848"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add7/u11_al_u851 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [6],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [13],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [11]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [14],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [12]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [14],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add7/ucin_al_u848"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add7/u15_al_u852 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [7]}),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [17],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [15]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [18],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [16]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [17],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [15]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c19 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [18],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add7/ucin_al_u848"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add7/u19_al_u853 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [21],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [19]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [22],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [20]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c19 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [21],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [19]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c23 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [22],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [20]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add7/ucin_al_u848"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add7/u23_al_u854 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [25],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [23]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [26],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [24]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c23 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [25],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [23]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c27 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [26],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [24]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add7/ucin_al_u848"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add7/u27_al_u855 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [29],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [27]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [30],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [28]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c27 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [29],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [27]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c31 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [30],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [28]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add7/ucin_al_u848"),
//.R_POSITION("X0Y4Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add7/u31_al_u856 (
.a({open_n47475,1'b0}),
.c(2'b00),
.d({open_n47480,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [31]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c31 ),
.f({open_n47497,\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [31]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add7/ucin_al_u848"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add7/u3_al_u849 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [5],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [3]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [6],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [4]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [6],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add7/ucin_al_u848"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add7/u7_al_u850 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [2],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [9],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [7]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [10],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [8]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [9],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [10],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add7/ucin_al_u848"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add7/ucin_al_u848 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [1],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [2],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [1],open_n47556}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add7/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [2],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add8/ucin_al_u864"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add8/u11_al_u867 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [21],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [19]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [22],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [20]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add8/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add8/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [14],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add8/ucin_al_u864"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add8/u15_al_u868 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [25],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [23]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [26],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [24]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add8/c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [17],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [15]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add8/c19 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [18],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add8/ucin_al_u864"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add8/u19_al_u869 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [29],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [27]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [30],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [28]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add8/c19 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [21],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [19]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add8/c23 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [22],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [20]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add8/ucin_al_u864"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add8/u23_al_u870 (
.a({open_n47613,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [31]}),
.c(2'b00),
.d({open_n47618,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add8/c23 ),
.f({open_n47635,\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [23]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add8/ucin_al_u864"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add8/u3_al_u865 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [13],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [14],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [12]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add8/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add8/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [6],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add8/ucin_al_u864"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add8/u7_al_u866 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [17],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [15]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [18],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [16]}),
.c(2'b00),
.d({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add8/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [9],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add8/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [10],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add8/ucin_al_u864"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add8/ucin_al_u864 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [9],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [10],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [8]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [1],open_n47694}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add8/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [2],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [0]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add9/u0|icmp0/add9/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add9/u0|icmp0/add9/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [0],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [16],open_n47697}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n64 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1:0]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [0],open_n47712}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c1 ),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [1:0]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add9/u0|icmp0/add9/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add9/u10|icmp0/add9/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [10:9]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [26:25]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add9/u0|icmp0/add9/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add9/u12|icmp0/add9/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [12:11]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [28:27]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add9/u0|icmp0/add9/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add9/u14|icmp0/add9/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [14:13]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [30:29]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add9/u0|icmp0/add9/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add9/u2|icmp0/add9/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [2:1]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [18:17]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add9/u0|icmp0/add9/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add9/u4|icmp0/add9/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [4:3]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [20:19]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add9/u0|icmp0/add9/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add9/u6|icmp0/add9/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [6:5]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [22:21]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add9/u0|icmp0/add9/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add9/u8|icmp0/add9/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [8:7]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [24:23]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/add9/u0|icmp0/add9/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/add9/ucout|icmp0/add9/u15 (
.a({open_n47869,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [15]}),
.b({open_n47870,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [31]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/add9/c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n115 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [15]}));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(434)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_checksum_error_reg|ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b8 (
.a({open_n47894,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [6]}),
.b({open_n47895,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n102_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n101 ,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [9]}),
.mi({open_n47900,\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [8]}),
.sr(RSTn_pad),
.f({open_n47912,\ethernet_i0/mac_test0/mac_top0/_al_u557_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_checksum_error ,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [8]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
// address_offset=0;data_offset=0;depth=256;width=8;num_section=1;width_per_section=8;section_size=8;working_depth=1024;working_width=9;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0024"),
//.WID("0x0024"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("9"),
.DATA_WIDTH_B("9"),
.MODE("DP8K"),
.OCEAMUX("0"),
.OCEBMUX("0"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("SYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("READBEFOREWRITE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_receive_ram/inst_256x8_sub_000000_000 (
.addra({2'b00,\ethernet_i0/mac_test0/mac_top0/icmp0/ram_write_addr [7:0],3'b111}),
.addrb({2'b00,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_read_addr [7:0],3'b111}),
.clka(\ethernet_i0/gmii_rx_clk ),
.clkb(\ethernet_i0/gmii_rx_clk ),
.dia({open_n47924,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 }),
.wea(\ethernet_i0/mac_test0/mac_top0/icmp0/ram_wr_en ),
.dob({open_n47948,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_rdata }));
EG_PHY_MSLICE #(
//.LUT0("~(~C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111111100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rev_error_d0_reg (
.b({open_n47951,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_checksum_error }),
.c({open_n47952,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rec_error }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n47954,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_addr_check_error }),
.sr(RSTn_pad),
.q({open_n47972,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rev_error_d0 })); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(244)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(434)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_end_reg|ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b9 (
.a({open_n47973,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [12]}),
.b({open_n47974,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n102_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n101 ,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [15]}),
.mi({open_n47979,\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [9]}),
.sr(RSTn_pad),
.f({open_n47991,\ethernet_i0/mac_test0/mac_top0/_al_u555_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_end ,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [9]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(236)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(274)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*C*B*A)"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_type_error_reg|ethernet_i0/mac_test0/mac_top0/icmp0/reg2_b5 (
.a({open_n47995,\ethernet_i0/mac_test0/mac_top0/_al_u532_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u550_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u532_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [3]}),
.mi({open_n48000,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({open_n48012,\ethernet_i0/mac_test0/mac_top0/_al_u540_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_type_error ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [5]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(236)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt0_0|icmp0/lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_0|icmp0/lt0_cin (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [0],open_n48016}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt0_0|icmp0/lt0_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_10|icmp0/lt0_9 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [10:9]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [10:9]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c9 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt0_0|icmp0/lt0_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_12|icmp0/lt0_11 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [12:11]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [12:11]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c11 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt0_0|icmp0/lt0_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_14|icmp0/lt0_13 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [14:13]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [14:13]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c13 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt0_0|icmp0/lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_2|icmp0/lt0_1 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2:1]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [2:1]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c1 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt0_0|icmp0/lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_4|icmp0/lt0_3 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4:3]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [4:3]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c3 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt0_0|icmp0/lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_6|icmp0/lt0_5 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [6:5]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [6:5]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c5 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt0_0|icmp0/lt0_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_8|icmp0/lt0_7 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [8:7]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [8:7]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c7 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt0_0|icmp0/lt0_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_cout|icmp0/lt0_15 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [15]}),
.b({1'b1,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [15]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt0_c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n46 ,open_n48228}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt1_0|icmp0/lt1_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_0|icmp0/lt1_cin (
.a(2'b10),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],open_n48234}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt1_0|icmp0/lt1_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_10|icmp0/lt1_9 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [10:9]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c9 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt1_0|icmp0/lt1_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_12|icmp0/lt1_11 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [12:11]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c11 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt1_0|icmp0/lt1_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_14|icmp0/lt1_13 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [14:13]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c13 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt1_0|icmp0/lt1_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_2|icmp0/lt1_1 (
.a(2'b11),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2:1]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c1 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt1_0|icmp0/lt1_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_4|icmp0/lt1_3 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4:3]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c3 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt1_0|icmp0/lt1_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_6|icmp0/lt1_5 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [6:5]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c5 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt1_0|icmp0/lt1_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_8|icmp0/lt1_7 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [8:7]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c7 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt1_0|icmp0/lt1_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_cout|icmp0/lt1_15 (
.a(2'b00),
.b({1'b1,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [15]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt1_c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n47 ,open_n48446}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt2_0|icmp0/lt2_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_0|icmp0/lt2_cin (
.a(2'b10),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],open_n48452}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt2_0|icmp0/lt2_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_10|icmp0/lt2_9 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [10:9]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c9 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt2_0|icmp0/lt2_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_12|icmp0/lt2_11 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [12:11]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c11 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt2_0|icmp0/lt2_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_14|icmp0/lt2_13 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [14:13]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c13 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt2_0|icmp0/lt2_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_2|icmp0/lt2_1 (
.a(2'b10),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2:1]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c1 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt2_0|icmp0/lt2_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_4|icmp0/lt2_3 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4:3]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c3 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt2_0|icmp0/lt2_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_6|icmp0/lt2_5 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [6:5]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c5 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt2_0|icmp0/lt2_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_8|icmp0/lt2_7 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [8:7]),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c7 ),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/lt2_0|icmp0/lt2_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_cout|icmp0/lt2_15 (
.a(2'b00),
.b({1'b1,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [15]}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/lt2_c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n78 ,open_n48664}));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000001010011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/mac_send_end_d0_reg (
.a({open_n48670,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [0]}),
.b({open_n48671,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [8]}),
.c({open_n48672,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n48674,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({open_n48685,\ethernet_i0/mac_test0/mac_send_end }),
.sr(RSTn_pad),
.f({open_n48686,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u953_o }),
.q({open_n48690,\ethernet_i0/mac_test0/mac_top0/icmp0/mac_send_end_d0 })); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(172)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(200)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/ram_wr_en_reg|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg14_b15 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n46 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n47 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n36_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n45_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.mi({open_n48697,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [15]}),
.sr(RSTn_pad),
.f({open_n48709,\ethernet_i0/mac_test0/mac_top0/_al_u567_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/ram_wr_en ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [15]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(215)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(215)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg0_b1|ethernet_i0/mac_test0/mac_top0/icmp0/reg0_b0 (
.c(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1:0]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n45_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n45_lutinv }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/ram_write_addr [1:0])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(215)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(317)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(215)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg0_b2|ethernet_i0/mac_test0/mac_top0/icmp0/reg8_b0 (
.b({open_n48737,\ethernet_i0/mac_test0/mac_top0/icmp0/n78 }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n45_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/ram_write_addr [2],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_read_addr [0]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(317)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(215)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(B*D))"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(~C*~(B*D))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110011110000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111110011110000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg0_b3|ethernet_i0/mac_test0/mac_top0/icmp0/reg19_b11 (
.b({open_n48758,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/icmp0/sel0_b11/B1 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n45_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n29 [11]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/ram_write_addr [3],\ethernet_i0/mac_test0/mac_top0/icmp0/state [11]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(215)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*~D))"),
//.LUT1("(A*(D@(~C*~B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001100000011),
.INIT_LUT1(16'b1010100000000010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg0_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b31 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n45_lutinv ,open_n48781}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/icmp0/state [4]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [5],\ethernet_i0/mac_test0/mac_top0/icmp_rx_req }),
.mi({open_n48793,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [31]}),
.sr(RSTn_pad),
.f({open_n48794,\ethernet_i0/mac_test0/mac_top0/_al_u835_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/ram_write_addr [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [31]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_MSLICE #(
//.LUT0("(D*(C@B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011110000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg0_b6 (
.b({open_n48800,\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_10_sel_is_2_o }),
.c({open_n48801,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n48803,\ethernet_i0/mac_test0/mac_top0/icmp0/n45_lutinv }),
.sr(RSTn_pad),
.q({open_n48821,\ethernet_i0/mac_test0/mac_top0/icmp0/ram_write_addr [6]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(215)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(215)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(A*(D@(~C*B)))"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(A*(D@(~C*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b1010001000001000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b1010001000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg0_b7|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b31 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n45_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_10_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [31]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [31]}),
.e({open_n48823,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/ram_write_addr [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [31]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(529)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(390)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(A*(D@(C*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0010101010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg10_b2|ethernet_i0/mac_test0/mac_top0/icmp0/checksum_finish_reg (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n34 }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [2],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [2]}),
.sr(RSTn_pad),
.f({open_n48856,\ethernet_i0/mac_test0/mac_top0/icmp0/n101 }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_cnt [2],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_finish })); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(529)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTF1("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTG0("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
//.LUTG1("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110010010100000),
.INIT_LUTF1(16'b1110010010100000),
.INIT_LUTG0(16'b1111010110110001),
.INIT_LUTG1(16'b1111010110110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b0|ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [0],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [0],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [0],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [0],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [2]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTG0("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110010010100000),
.INIT_LUTG0(16'b1111010110110001),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b1 (
.a({open_n48879,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({open_n48880,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({open_n48881,\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n48882,\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [1]}),
.e({open_n48883,\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [1]}),
.sr(RSTn_pad),
.q({open_n48903,\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [1]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTF1("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTG0("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
//.LUTG1("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110010010100000),
.INIT_LUTF1(16'b1110010010100000),
.INIT_LUTG0(16'b1111010110110001),
.INIT_LUTG1(16'b1111010110110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b10|ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [10],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [7]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [10],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [7]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [10],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [10],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [7]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTF1("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTG0("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
//.LUTG1("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110010010100000),
.INIT_LUTF1(16'b1110010010100000),
.INIT_LUTG0(16'b1111010110110001),
.INIT_LUTG1(16'b1111010110110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b11|ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b12 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [11],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [12]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [11],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [12]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [11],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [12]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [11],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [12]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTF1("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTG0("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
//.LUTG1("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110010010100000),
.INIT_LUTF1(16'b1110010010100000),
.INIT_LUTG0(16'b1111010110110001),
.INIT_LUTG1(16'b1111010110110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b13|ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [14]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [14]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [13],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [13],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [14]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTF1("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTG0("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
//.LUTG1("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110010010100000),
.INIT_LUTF1(16'b1110010010100000),
.INIT_LUTG0(16'b1111010110110001),
.INIT_LUTG1(16'b1111010110110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b16|ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b15 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n91 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [15]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n94 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [15]}),
.e(\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [16:15]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [16:15])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTF1("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTG0("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
//.LUTG1("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110010010100000),
.INIT_LUTF1(16'b1110010010100000),
.INIT_LUTG0(16'b1111010110110001),
.INIT_LUTG1(16'b1111010110110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b3|ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [3],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [4]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [3],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [4]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [3],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [3],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [4]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTF1("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTG0("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
//.LUTG1("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110010010100000),
.INIT_LUTF1(16'b1110010010100000),
.INIT_LUTG0(16'b1111010110110001),
.INIT_LUTG1(16'b1111010110110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b5|ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [6]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [5],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [5],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [6]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTF1("((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*~(C)*~(A)+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*~(A)+~((0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B))*C*A+(0*~(D)*~(B)+0*D*~(B)+~(0)*D*B+0*D*B)*C*A)"),
//.LUTG0("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
//.LUTG1("((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*~(C)*~(A)+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*~(A)+~((1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B))*C*A+(1*~(D)*~(B)+1*D*~(B)+~(1)*D*B+1*D*B)*C*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110010010100000),
.INIT_LUTF1(16'b1110010010100000),
.INIT_LUTG0(16'b1111010110110001),
.INIT_LUTG1(16'b1111010110110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b8|ethernet_i0/mac_test0/mac_top0/icmp0/reg11_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [8],\ethernet_i0/mac_test0/mac_top0/icmp0/n92 [9]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [8],\ethernet_i0/mac_test0/mac_top0/icmp0/n95 [9]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [8],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [8],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [9]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(400)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b0|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b1 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [0],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [0],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [1]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b13|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b14 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [13],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [13],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [14]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b15|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b16 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [15],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [16]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [15],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [16]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b17|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b18 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [17],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [18]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [17],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [18]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b19|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b20 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [19],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [20]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [19],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [20]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b21|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b22 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [21],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [22]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [21],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [22]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b24|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b25 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [24],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [25]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [24],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [25]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b26|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b27 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [26],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [27]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [26],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [27]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b28|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b29 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [28],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [29]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [28],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [29]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b2|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b10 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [2],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [10]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [2],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [10]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b30|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b31 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [30],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [31]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [30],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [31]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b3|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [3],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [3],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [4]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b5|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [5],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [5],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [6]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b8|ethernet_i0/mac_test0/mac_top0/icmp0/reg12_b9 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [8],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [8],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_buf [9]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(413)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b0|ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b10 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [0],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [10]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [0],\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [10]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b1 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n49416,\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [1]}),
.sr(RSTn_pad),
.q({open_n49422,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [1]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~0*~D*B*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~(~1*~D*B*A))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000001110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b24 (
.a({open_n49423,\ethernet_i0/mac_test0/mac_top0/_al_u531_o }),
.b({open_n49424,\ethernet_i0/mac_test0/mac_top0/_al_u625_o }),
.c(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1:0]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u534_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4]}),
.e({open_n49426,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [24]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u625_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [24]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(~D*(C@B))"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(~D*(C@B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b0000000000111100),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b0000000000111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b13|ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b15 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.mi({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [13],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [15]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u506_o ,\ethernet_i0/mac_test0/mac_top0/_al_u413_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [13],\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [15]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b2|ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b14 (
.a({open_n49462,\ethernet_i0/mac_test0/mac_top0/_al_u533_o }),
.b({open_n49463,\ethernet_i0/mac_test0/mac_top0/_al_u534_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_end ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_finish ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [2],\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [14]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u562_o ,\ethernet_i0/mac_test0/mac_top0/_al_u623_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [2],\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [14]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b5 (
.a({open_n49482,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [2]}),
.b({open_n49483,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [3]}),
.c({open_n49484,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n49486,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [5]}),
.mi({open_n49497,\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [5]}),
.sr(RSTn_pad),
.f({open_n49498,\ethernet_i0/mac_test0/mac_top0/_al_u558_o }),
.q({open_n49502,\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [5]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b7|ethernet_i0/mac_test0/mac_top0/icmp0/reg13_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [0],\ethernet_i0/mac_test0/mac_top0/_al_u555_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [1],\ethernet_i0/mac_test0/mac_top0/_al_u556_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [10],\ethernet_i0/mac_test0/mac_top0/_al_u557_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [11],\ethernet_i0/mac_test0/mac_top0/_al_u558_o }),
.mi(\ethernet_i0/mac_test0/mac_top0/icmp0/check_out [7:6]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u556_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n102_lutinv }),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/checkout_buf [7:6])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(423)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTF1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100110011111010),
.INIT_LUTG0(16'b1100110011111010),
.INIT_LUTG1(16'b1100110011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b10|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u740_o ,\ethernet_i0/mac_test0/mac_top0/_al_u627_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b10/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b9/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u742_o ,\ethernet_i0/mac_test0/mac_top0/_al_u629_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [10:9])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_MSLICE #(
//.LUT0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUT1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011111010),
.INIT_LUT1(16'b1100110011111010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b11|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b12 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u736_o ,\ethernet_i0/mac_test0/mac_top0/_al_u732_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b11/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b12/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u738_o ,\ethernet_i0/mac_test0/mac_top0/_al_u734_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [11],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [12]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTF1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100110011111010),
.INIT_LUTG0(16'b1100110011111010),
.INIT_LUTG1(16'b1100110011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b13|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u728_o ,\ethernet_i0/mac_test0/mac_top0/_al_u724_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b13/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b14/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u730_o ,\ethernet_i0/mac_test0/mac_top0/_al_u726_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [13],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [14]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTF1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100110011111010),
.INIT_LUTG0(16'b1100110011111010),
.INIT_LUTG1(16'b1100110011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b17|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b18 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u712_o ,\ethernet_i0/mac_test0/mac_top0/_al_u708_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b17/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b18/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u714_o ,\ethernet_i0/mac_test0/mac_top0/_al_u710_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [17],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [18]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTF1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100110011111010),
.INIT_LUTG0(16'b1100110011111010),
.INIT_LUTG1(16'b1100110011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b19|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b20 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u704_o ,\ethernet_i0/mac_test0/mac_top0/_al_u697_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b19/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b20/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u706_o ,\ethernet_i0/mac_test0/mac_top0/_al_u699_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [19],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [20]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D*(B*~(0)*~(A)+B*0*~(A)+~(B)*0*A+B*0*A)))"),
//.LUTF1("~(~C*~(D*(B*~(0)*~(A)+B*0*~(A)+~(B)*0*A+B*0*A)))"),
//.LUTG0("~(~C*~(D*(B*~(1)*~(A)+B*1*~(A)+~(B)*1*A+B*1*A)))"),
//.LUTG1("~(~C*~(D*(B*~(1)*~(A)+B*1*~(A)+~(B)*1*A+B*1*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111010011110000),
.INIT_LUTF1(16'b1111010011110000),
.INIT_LUTG0(16'b1111111011110000),
.INIT_LUTG1(16'b1111111011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b1|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n63_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [1],\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u702_o ,\ethernet_i0/mac_test0/mac_top0/_al_u659_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u316_o ,\ethernet_i0/mac_test0/mac_top0/_al_u316_o }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [1],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [2]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTF1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100110011111010),
.INIT_LUTG0(16'b1100110011111010),
.INIT_LUTG1(16'b1100110011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b21|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b22 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u693_o ,\ethernet_i0/mac_test0/mac_top0/_al_u689_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b21/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b22/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u695_o ,\ethernet_i0/mac_test0/mac_top0/_al_u691_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [21],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [22]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTF1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100110011111010),
.INIT_LUTG0(16'b1100110011111010),
.INIT_LUTG1(16'b1100110011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b23|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b24 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u685_o ,\ethernet_i0/mac_test0/mac_top0/_al_u681_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b23/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b24/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u687_o ,\ethernet_i0/mac_test0/mac_top0/_al_u683_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [23],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [24]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTF1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100110011111010),
.INIT_LUTG0(16'b1100110011111010),
.INIT_LUTG1(16'b1100110011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b25|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b26 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u677_o ,\ethernet_i0/mac_test0/mac_top0/_al_u673_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b25/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b26/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u679_o ,\ethernet_i0/mac_test0/mac_top0/_al_u675_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [25],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [26]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTF1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100110011111010),
.INIT_LUTG0(16'b1100110011111010),
.INIT_LUTG1(16'b1100110011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b28|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b29 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u665_o ,\ethernet_i0/mac_test0/mac_top0/_al_u661_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b28/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b29/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u667_o ,\ethernet_i0/mac_test0/mac_top0/_al_u663_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [28],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [29]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTF1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUTG1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111010),
.INIT_LUTF1(16'b1100110011111010),
.INIT_LUTG0(16'b1100110011111010),
.INIT_LUTG1(16'b1100110011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b30|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b31 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u654_o ,\ethernet_i0/mac_test0/mac_top0/_al_u650_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b30/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b31/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u656_o ,\ethernet_i0/mac_test0/mac_top0/_al_u652_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [30],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [31]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D*(B*~(0)*~(A)+B*0*~(A)+~(B)*0*A+B*0*A)))"),
//.LUTF1("~(~C*~(D*(B*~(0)*~(A)+B*0*~(A)+~(B)*0*A+B*0*A)))"),
//.LUTG0("~(~C*~(D*(B*~(1)*~(A)+B*1*~(A)+~(B)*1*A+B*1*A)))"),
//.LUTG1("~(~C*~(D*(B*~(1)*~(A)+B*1*~(A)+~(B)*1*A+B*1*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111010011110000),
.INIT_LUTF1(16'b1111010011110000),
.INIT_LUTG0(16'b1111111011110000),
.INIT_LUTG1(16'b1111111011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b4|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n63_lutinv }),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [4:3]),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u645_o ,\ethernet_i0/mac_test0/mac_top0/_al_u648_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u316_o ,\ethernet_i0/mac_test0/mac_top0/_al_u316_o }),
.e(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4:3]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [4:3])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D*(B*~(0)*~(A)+B*0*~(A)+~(B)*0*A+B*0*A)))"),
//.LUTF1("~(~C*~(D*(B*~(0)*~(A)+B*0*~(A)+~(B)*0*A+B*0*A)))"),
//.LUTG0("~(~C*~(D*(B*~(1)*~(A)+B*1*~(A)+~(B)*1*A+B*1*A)))"),
//.LUTG1("~(~C*~(D*(B*~(1)*~(A)+B*1*~(A)+~(B)*1*A+B*1*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111010011110000),
.INIT_LUTF1(16'b1111010011110000),
.INIT_LUTG0(16'b1111111011110000),
.INIT_LUTG1(16'b1111111011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b5|ethernet_i0/mac_test0/mac_top0/icmp0/reg14_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n63_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n109 [6]}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u642_o ,\ethernet_i0/mac_test0/mac_top0/_al_u639_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u316_o ,\ethernet_i0/mac_test0/mac_top0/_al_u316_o }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [5],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [6]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(473)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTF1("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTG0("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
//.LUTG1("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110101001000000),
.INIT_LUTF1(16'b1110101001000000),
.INIT_LUTG0(16'b1111101101010001),
.INIT_LUTG1(16'b1111101101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b10|ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c(\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [10:9]),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [10:9]),
.e(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [10:9]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [10:9])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTF1("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTG0("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
//.LUTG1("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110101001000000),
.INIT_LUTF1(16'b1110101001000000),
.INIT_LUTG0(16'b1111101101010001),
.INIT_LUTG1(16'b1111101101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b11|ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b12 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [11],\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [12]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [11],\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [12]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [11],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [12]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [11],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [12]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTF1("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTG0("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
//.LUTG1("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110101001000000),
.INIT_LUTF1(16'b1110101001000000),
.INIT_LUTG0(16'b1111101101010001),
.INIT_LUTG1(16'b1111101101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b13|ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [14]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [14]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [13],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [13],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [14]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTF1("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTG0("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
//.LUTG1("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110101001000000),
.INIT_LUTF1(16'b1110101001000000),
.INIT_LUTG0(16'b1111101101010001),
.INIT_LUTG1(16'b1111101101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b16|ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b15 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n117 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [15]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n115 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [15]}),
.e(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [16:15]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [16:15])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTF1("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTG0("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
//.LUTG1("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110101001000000),
.INIT_LUTF1(16'b1110101001000000),
.INIT_LUTG0(16'b1111101101010001),
.INIT_LUTG1(16'b1111101101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b1|ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [1],\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [1],\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [1],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [1],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [2]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTF1("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTG0("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
//.LUTG1("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110101001000000),
.INIT_LUTF1(16'b1110101001000000),
.INIT_LUTG0(16'b1111101101010001),
.INIT_LUTG1(16'b1111101101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b3|ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [3],\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [4]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [3],\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [4]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [3],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [3],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [4]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTF1("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTG0("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
//.LUTG1("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110101001000000),
.INIT_LUTF1(16'b1110101001000000),
.INIT_LUTG0(16'b1111101101010001),
.INIT_LUTG1(16'b1111101101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b5|ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [6]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [5],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [5],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [6]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTF1("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTG0("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
//.LUTG1("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110101001000000),
.INIT_LUTF1(16'b1110101001000000),
.INIT_LUTG0(16'b1111101101010001),
.INIT_LUTG1(16'b1111101101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b7|ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [7],\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [8]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [7],\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [8]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [7],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [7],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [8]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b11|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b12 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [11],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [12]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [11],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [12]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b13|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b14 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [13],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [13],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [14]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_LSLICE #(
//.LUTF0("~(~(0*B)*~(~(D*C)*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~(1*B)*~(~(D*C)*A))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100111011101110),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b15|ethernet_i0/mac_test0/mac_top0/icmp0/reg19_b8 (
.a({open_n49980,\ethernet_i0/mac_test0/mac_top0/_al_u586_o }),
.b({open_n49981,\ethernet_i0/mac_test0/mac_top0/icmp0/n37_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [15],\ethernet_i0/mac_test0/mac_top0/_al_u588_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/_al_u590_o }),
.e({open_n49983,\ethernet_i0/mac_test0/mac_top0/icmp_tx_ack }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [15],\ethernet_i0/mac_test0/mac_top0/icmp0/state [8]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b17|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b18 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [17],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [18]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [17],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [18]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b19|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b20 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [19],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [20]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [19],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [20]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b1|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [1],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [1],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [2]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b21|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b22 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [21],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [22]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [21],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [22]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b23|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b24 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [23],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [24]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [23],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [24]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b25|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b26 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [25],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [26]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [25],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [26]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b27|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b28 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [27],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [28]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [27],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [28]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b29|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b30 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [29],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [30]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [29],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [30]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b3|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [3],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [3],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [4]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b5|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [5],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [5],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [6]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b8|ethernet_i0/mac_test0/mac_top0/icmp0/reg16_b9 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [8],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [8],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_buf [9]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(509)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b0|ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b4 (
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [0],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [0],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [4]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b10|ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b9 (
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [10:9]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [10:9])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b11|ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b14 (
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [11],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [11],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [14]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b12|ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b13 (
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [12],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [13]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [12],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [13]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b1|ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b2 (
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [1],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [1],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [2]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b3|ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b5 (
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [3],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [3],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [5]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)*~(A)+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*~(A)+~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*D*A+(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)*~(A)+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*~(A)+~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*D*A+(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D*A)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110101001000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111101101010001),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b6|ethernet_i0/mac_test0/mac_top0/icmp0/reg15_b0 (
.a({open_n50411,\ethernet_i0/mac_test0/mac_top0/icmp0/n90_lutinv }),
.b({open_n50412,\ethernet_i0/mac_test0/mac_top0/icmp0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n118 [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n116 [0]}),
.e({open_n50413,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [0]}),
.mi({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [6],open_n50415}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n64 ,open_n50427}),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [6],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [0]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(496)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b7|ethernet_i0/mac_test0/mac_top0/icmp0/reg17_b8 (
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [7],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_check_out [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [7],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [8]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(519)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(543)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(543)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~D*~(C)*~(A)+~D*C*~(A)+~(~D)*C*A+~D*C*A))"),
//.LUTF1("(B*~(~D*~(C)*~(A)+~D*C*~(A)+~(~D)*C*A+~D*C*A))"),
//.LUTG0("(B*~(~D*~(C)*~(A)+~D*C*~(A)+~(~D)*C*A+~D*C*A))"),
//.LUTG1("(B*~(~D*~(C)*~(A)+~D*C*~(A)+~(~D)*C*A+~D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100110000001000),
.INIT_LUTF1(16'b0100110000001000),
.INIT_LUTG0(16'b0100110000001000),
.INIT_LUTG1(16'b0100110000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg18_b0|ethernet_i0/mac_test0/mac_top0/icmp0/reg18_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u826_o ,\ethernet_i0/mac_test0/mac_top0/_al_u796_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_rdata [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_rdata [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [0],\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [6]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(543)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(543)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(543)
EG_PHY_MSLICE #(
//.LUT0("(B*~(~D*~(C)*~(A)+~D*C*~(A)+~(~D)*C*A+~D*C*A))"),
//.LUT1("(B*~(~D*~(C)*~(A)+~D*C*~(A)+~(~D)*C*A+~D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100110000001000),
.INIT_LUT1(16'b0100110000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg18_b1|ethernet_i0/mac_test0/mac_top0/icmp0/reg18_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u821_o ,\ethernet_i0/mac_test0/mac_top0/_al_u816_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_rdata [1],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_rdata [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [1],\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [2]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(543)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(543)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(543)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~D*~(C)*~(A)+~D*C*~(A)+~(~D)*C*A+~D*C*A))"),
//.LUTF1("(B*~(~D*~(C)*~(A)+~D*C*~(A)+~(~D)*C*A+~D*C*A))"),
//.LUTG0("(B*~(~D*~(C)*~(A)+~D*C*~(A)+~(~D)*C*A+~D*C*A))"),
//.LUTG1("(B*~(~D*~(C)*~(A)+~D*C*~(A)+~(~D)*C*A+~D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100110000001000),
.INIT_LUTF1(16'b0100110000001000),
.INIT_LUTG0(16'b0100110000001000),
.INIT_LUTG1(16'b0100110000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg18_b3|ethernet_i0/mac_test0/mac_top0/icmp0/reg18_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u811_o ,\ethernet_i0/mac_test0/mac_top0/_al_u791_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_rdata [3],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_rdata [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [3],\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [7]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(543)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(543)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(543)
EG_PHY_MSLICE #(
//.LUT0("(B*~(~D*~(C)*~(A)+~D*C*~(A)+~(~D)*C*A+~D*C*A))"),
//.LUT1("(B*~(~D*~(C)*~(A)+~D*C*~(A)+~(~D)*C*A+~D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100110000001000),
.INIT_LUT1(16'b0100110000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg18_b4|ethernet_i0/mac_test0/mac_top0/icmp0/reg18_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u806_o ,\ethernet_i0/mac_test0/mac_top0/_al_u801_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_rdata [4],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_rdata [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [4],\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [5]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(543)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(D*~(C*~B)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("~(A*~(D*~(C*~B)))"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101111101010101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101111101010101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg19_b10|ethernet_i0/mac_test0/mac_top0/icmp0/reg19_b0 (
.a({open_n50534,\ethernet_i0/mac_test0/mac_top0/_al_u836_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u531_o ,\ethernet_i0/mac_test0/mac_top0/_al_u786_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u546_o ,\ethernet_i0/mac_test0/mac_top0/_al_u837_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n36_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n10_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/state [10],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("~(~D*~(C*~B))"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("~(~D*~(C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b1111111100110000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b1111111100110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg19_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b15 (
.a({open_n50557,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u782_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/sel0_b3/or_B9_or_B10_B11_o_o_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [15]}),
.e({open_n50559,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [15]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("~(~(~C*B)*~(D*A))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("~(~(~C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1010111000001100),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1010111000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg19_b4|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b29 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n34 ,open_n50579}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n45_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n2_neg_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_checksum_error ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.mi({open_n50584,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [29]}),
.sr(RSTn_pad),
.f({open_n50596,\ethernet_i0/mac_test0/mac_top0/_al_u538_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/state [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [29]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(B*~D))"),
//.LUTF1("~(~A*~(B*~(D*C)))"),
//.LUTG0("(~C*~(B*~D))"),
//.LUTG1("~(~A*~(B*~(D*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000011),
.INIT_LUTF1(16'b1010111011101110),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b1010111011101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg19_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b30 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/sel0_b5/B8 ,open_n50600}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n36_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u531_o ,\ethernet_i0/mac_test0/mac_top0/_al_u782_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u546_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n29 [11]}),
.mi({open_n50605,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [30]}),
.sr(RSTn_pad),
.f({open_n50617,\ethernet_i0/mac_test0/mac_top0/_al_u837_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/state [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [30]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~(~C*B))"),
//.LUTG0("~(~D*~(~C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100001100),
.INIT_LUTG0(16'b1111111100001100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg19_b7 (
.b({open_n50623,\ethernet_i0/mac_test0/mac_top0/icmp0/n37_lutinv }),
.c({open_n50624,\ethernet_i0/mac_test0/mac_top0/icmp_tx_ack }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n50626,\ethernet_i0/mac_test0/mac_top0/_al_u553_o }),
.sr(RSTn_pad),
.q({open_n50648,\ethernet_i0/mac_test0/mac_top0/icmp0/state [7]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b0|ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [0],\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n38 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n38 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [0],\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [2]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b10 (
.c({open_n50679,\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [10]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n50681,\ethernet_i0/mac_test0/mac_top0/icmp0/n38 }),
.sr(RSTn_pad),
.q({open_n50699,\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [10]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b11|ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b12 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [11],\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [12]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n38 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n38 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [11],\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [12]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b13|ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [13],\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n38 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n38 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [13],\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [15]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b0 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n38 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [0]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b1|ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b3 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [1],\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n38 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n38 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [1],\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [3]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b4|ethernet_i0/mac_test0/reg1_b7 (
.a({open_n50792,\ethernet_i0/mac_test0/mac_top0/_al_u587_o }),
.b({open_n50793,\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [6]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [4],\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n38 ,\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [8]}),
.e({open_n50795,\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [9]}),
.mi({open_n50797,\ethernet_i0/mac_test0/gmii_txd_tmp [7]}),
.sr(RSTn_pad),
.f({open_n50809,\ethernet_i0/mac_test0/mac_top0/_al_u588_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [4],\ethernet_i0/gmii_txd [7]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b5|ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n38 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n38 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [5],\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [6]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b7|ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b8 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [7],\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n38 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n38 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [7],\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [8]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(226)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg1_b9|ethernet_i0/mac_test0/reg1_b4 (
.a({open_n50861,\ethernet_i0/mac_test0/mac_top0/_al_u589_o }),
.b({open_n50862,\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [12]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n52 [9],\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n38 ,\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [14]}),
.e({open_n50864,\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [15]}),
.mi({open_n50866,\ethernet_i0/mac_test0/gmii_txd_tmp [4]}),
.sr(RSTn_pad),
.f({open_n50878,\ethernet_i0/mac_test0/mac_top0/_al_u590_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [9],\ethernet_i0/gmii_txd [4]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(236)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(236)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*(D@A))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~C*~B*(D@A))"),
//.LUTG1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100000010),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000000100000010),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg2_b0|ethernet_i0/mac_test0/mac_top0/icmp0/reg2_b4 (
.a({open_n50882,\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [3],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [4],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u413_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u414_o ,\ethernet_i0/mac_test0/mac_top0/_al_u829_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [4]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(236)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(236)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(236)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~(B*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*~C*~(B*A))"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000011100000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000011100000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg2_b3|ethernet_i0/mac_test0/mac_top0/icmp0/reg2_b1 (
.a({open_n50901,\ethernet_i0/mac_test0/mac_top0/_al_u531_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u533_o ,\ethernet_i0/mac_test0/mac_top0/_al_u623_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u534_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u532_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/_al_u624_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [3],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [1]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(236)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(236)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(236)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg2_b7|ethernet_i0/mac_test0/mac_top0/icmp0/reg2_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_type_error ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rev_error_d0 ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7:6]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n2_neg_lutinv ,\ethernet_i0/mac_test0/mac_top0/_al_u533_o }),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [7:6])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(236)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b10|ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b12 (
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n31 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [10],\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [12]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [10],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [12]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b11|ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b13 (
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n31 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [11],\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [13]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [11],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [13]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b14|ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b15 (
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n31 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [14],\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [15]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [14],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [15]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b2|ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b3 (
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n31 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [2],\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [2],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [3]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b4|ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b6 (
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n31 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [4],\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [4],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [6]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b5|ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b7 (
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n31 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [5],\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [5],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [7]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTG0("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b9 (
.c({open_n51100,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 }),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n31 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n51101,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.mi({open_n51105,\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [9]}),
.sr(RSTn_pad),
.f({open_n51117,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o }),
.q({open_n51121,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [9]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b10|ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b11 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [10],\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u567_o ,\ethernet_i0/mac_test0/mac_top0/_al_u567_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [10],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [11]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b12|ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b13 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [12],\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u567_o ,\ethernet_i0/mac_test0/mac_top0/_al_u567_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [12],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [13]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b14|ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [14],\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u567_o ,\ethernet_i0/mac_test0/mac_top0/_al_u567_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [14],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [15]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b2|ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b0 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [2],\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u567_o ,\ethernet_i0/mac_test0/mac_top0/_al_u567_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b3|ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b1 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [3],\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u567_o ,\ethernet_i0/mac_test0/mac_top0/_al_u567_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b6|ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [6],\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u567_o ,\ethernet_i0/mac_test0/mac_top0/_al_u567_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [6],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b8|ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b5 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [8],\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u567_o ,\ethernet_i0/mac_test0/mac_top0/_al_u567_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [8],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [5]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b9|ethernet_i0/mac_test0/mac_top0/icmp0/reg4_b7 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [9],\ethernet_i0/mac_test0/mac_top0/icmp0/n57 [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u567_o ,\ethernet_i0/mac_test0/mac_top0/_al_u567_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [9],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [7]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(262)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(285)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(285)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0100110001000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0100110001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg5_b3|ethernet_i0/mac_test0/mac_top0/icmp0/reg5_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [0],open_n51326}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n64 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [0],\ethernet_i0/mac_test0/mac_top0/_al_u540_o }),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3:2]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u825_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n67 }),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [3:2])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(285)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(285)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(285)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
//.LUTF1("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
//.LUTG0("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
//.LUTG1("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100110001000000),
.INIT_LUTF1(16'b0100110001000000),
.INIT_LUTG0(16'b0100110001000000),
.INIT_LUTG1(16'b0100110001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg5_b4|ethernet_i0/mac_test0/mac_top0/icmp0/reg5_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [1],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [2]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n64 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [1],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u820_o ,\ethernet_i0/mac_test0/mac_top0/_al_u815_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [4],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [5]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(285)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(285)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(285)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
//.LUTF1("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
//.LUTG0("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
//.LUTG1("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100110001000000),
.INIT_LUTF1(16'b0100110001000000),
.INIT_LUTG0(16'b0100110001000000),
.INIT_LUTG1(16'b0100110001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg5_b6|ethernet_i0/mac_test0/mac_top0/icmp0/reg5_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [3],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [4]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n64 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [3],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [4]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u810_o ,\ethernet_i0/mac_test0/mac_top0/_al_u805_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [6],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [7]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(285)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
//.LUTF1("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
//.LUTG0("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
//.LUTG1("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100110001000000),
.INIT_LUTF1(16'b0100110001000000),
.INIT_LUTG0(16'b0100110001000000),
.INIT_LUTG1(16'b0100110001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b0|ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [5],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [6]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n69 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [5],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [6]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u800_o ,\ethernet_i0/mac_test0/mac_top0/_al_u795_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [1]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
EG_PHY_LSLICE #(
//.LUTF0("((~B*~(~D*C))*~(A)*~(0)+(~B*~(~D*C))*A*~(0)+~((~B*~(~D*C)))*A*0+(~B*~(~D*C))*A*0)"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("((~B*~(~D*C))*~(A)*~(1)+(~B*~(~D*C))*A*~(1)+~((~B*~(~D*C)))*A*1+(~B*~(~D*C))*A*1)"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100000011),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b12|ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u417_o ,\ethernet_i0/mac_test0/mac_top0/_al_u789_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u418_o ,\ethernet_i0/mac_test0/mac_top0/_al_u790_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [8],\ethernet_i0/mac_test0/mac_top0/_al_u541_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n67 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/upper_data_req ,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [15]}),
.e({open_n51395,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u586_o ,\ethernet_i0/mac_test0/mac_top0/_al_u791_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [12],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [14]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
EG_PHY_LSLICE #(
//.LUTF0("((~B*~(~D*C))*~(A)*~(0)+(~B*~(~D*C))*A*~(0)+~((~B*~(~D*C)))*A*0+(~B*~(~D*C))*A*0)"),
//.LUTF1("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
//.LUTG0("((~B*~(~D*C))*~(A)*~(1)+(~B*~(~D*C))*A*~(1)+~((~B*~(~D*C)))*A*1+(~B*~(~D*C))*A*1)"),
//.LUTG1("(B*~(~D*~(A)*~(C)+~D*A*~(C)+~(~D)*A*C+~D*A*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100000011),
.INIT_LUTF1(16'b0100110001000000),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b0100110001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b13|ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [7],\ethernet_i0/mac_test0/mac_top0/_al_u814_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/_al_u815_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/_al_u541_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n67 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_code [7],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [10]}),
.e({open_n51411,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u790_o ,\ethernet_i0/mac_test0/mac_top0/_al_u816_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [13],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [8]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
EG_PHY_LSLICE #(
//.LUTF0("((~B*~(~D*C))*~(A)*~(0)+(~B*~(~D*C))*A*~(0)+~((~B*~(~D*C)))*A*0+(~B*~(~D*C))*A*0)"),
//.LUTF1("((~B*~(~D*C))*~(A)*~(0)+(~B*~(~D*C))*A*~(0)+~((~B*~(~D*C)))*A*0+(~B*~(~D*C))*A*0)"),
//.LUTG0("((~B*~(~D*C))*~(A)*~(1)+(~B*~(~D*C))*A*~(1)+~((~B*~(~D*C)))*A*1+(~B*~(~D*C))*A*1)"),
//.LUTG1("((~B*~(~D*C))*~(A)*~(1)+(~B*~(~D*C))*A*~(1)+~((~B*~(~D*C)))*A*1+(~B*~(~D*C))*A*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100000011),
.INIT_LUTF1(16'b0011001100000011),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b15|ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u809_o ,\ethernet_i0/mac_test0/mac_top0/_al_u794_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u810_o ,\ethernet_i0/mac_test0/mac_top0/_al_u795_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u541_o ,\ethernet_i0/mac_test0/mac_top0/_al_u541_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n67 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [11],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [14]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u811_o ,\ethernet_i0/mac_test0/mac_top0/_al_u796_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [15],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [9]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
EG_PHY_LSLICE #(
//.LUTF0("((~B*~(~D*C))*~(A)*~(0)+(~B*~(~D*C))*A*~(0)+~((~B*~(~D*C)))*A*0+(~B*~(~D*C))*A*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("((~B*~(~D*C))*~(A)*~(1)+(~B*~(~D*C))*A*~(1)+~((~B*~(~D*C)))*A*1+(~B*~(~D*C))*A*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100000011),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b3|ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [11],\ethernet_i0/mac_test0/mac_top0/_al_u799_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [3],\ethernet_i0/mac_test0/mac_top0/_al_u800_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u808_o ,\ethernet_i0/mac_test0/mac_top0/_al_u541_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n69 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [13]}),
.e({open_n51442,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3:2]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u809_o ,\ethernet_i0/mac_test0/mac_top0/_al_u801_o }),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [3:2])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
EG_PHY_LSLICE #(
//.LUTF0("((~B*~(~D*C))*~(A)*~(0)+(~B*~(~D*C))*A*~(0)+~((~B*~(~D*C)))*A*0+(~B*~(~D*C))*A*0)"),
//.LUTF1("((~B*~(~D*C))*~(A)*~(0)+(~B*~(~D*C))*A*~(0)+~((~B*~(~D*C)))*A*0+(~B*~(~D*C))*A*0)"),
//.LUTG0("((~B*~(~D*C))*~(A)*~(1)+(~B*~(~D*C))*A*~(1)+~((~B*~(~D*C)))*A*1+(~B*~(~D*C))*A*1)"),
//.LUTG1("((~B*~(~D*C))*~(A)*~(1)+(~B*~(~D*C))*A*~(1)+~((~B*~(~D*C)))*A*1+(~B*~(~D*C))*A*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100000011),
.INIT_LUTF1(16'b0011001100000011),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b4|ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u819_o ,\ethernet_i0/mac_test0/mac_top0/_al_u804_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u820_o ,\ethernet_i0/mac_test0/mac_top0/_al_u805_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u541_o ,\ethernet_i0/mac_test0/mac_top0/_al_u541_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n69 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [9],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [12]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u821_o ,\ethernet_i0/mac_test0/mac_top0/_al_u806_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [4],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [5]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111101010011),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b7|ethernet_i0/mac_test0/mac_top0/icmp0/reg6_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [15],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [1]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [7],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [9]}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u788_o ,\ethernet_i0/mac_test0/mac_top0/_al_u818_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n69 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7:6]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u789_o ,\ethernet_i0/mac_test0/mac_top0/_al_u819_o }),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [7:6])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(294)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b0|ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b1 (
.a({open_n51486,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [10]}),
.b({open_n51487,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u541_o ,\ethernet_i0/mac_test0/mac_top0/_al_u813_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n75 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u540_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n73 ,\ethernet_i0/mac_test0/mac_top0/_al_u814_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [1]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
EG_PHY_LSLICE #(
//.LUTF0("((~B*~(~D*C))*~(A)*~(0)+(~B*~(~D*C))*A*~(0)+~((~B*~(~D*C)))*A*0+(~B*~(~D*C))*A*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("((~B*~(~D*C))*~(A)*~(1)+(~B*~(~D*C))*A*~(1)+~((~B*~(~D*C)))*A*1+(~B*~(~D*C))*A*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100000011),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b10|ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [12],\ethernet_i0/mac_test0/mac_top0/_al_u824_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [4],\ethernet_i0/mac_test0/mac_top0/_al_u825_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u803_o ,\ethernet_i0/mac_test0/mac_top0/_al_u541_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n73 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checkout_buf [8]}),
.e({open_n51505,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u804_o ,\ethernet_i0/mac_test0/mac_top0/_al_u826_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [10],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [13]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b12|ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b11 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [14:13]),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [6:5]),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u793_o ,\ethernet_i0/mac_test0/mac_top0/_al_u798_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n73 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4:3]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u794_o ,\ethernet_i0/mac_test0/mac_top0/_al_u799_o }),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [12:11])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010110011110000),
.INIT_LUTF1(16'b0000111101010011),
.INIT_LUTG0(16'b1010110011110000),
.INIT_LUTG1(16'b0000111101010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b15|ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_id [8],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [11]}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u823_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n73 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7:6]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u824_o ,\ethernet_i0/mac_test0/mac_top0/_al_u808_o }),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [15:14])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1010110011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1010110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b5|ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [2],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [9]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [10],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n75 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u813_o ,\ethernet_i0/mac_test0/mac_top0/_al_u818_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [5],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [3]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010110011110000),
.INIT_LUTF1(16'b1010110011110000),
.INIT_LUTG0(16'b1010110011110000),
.INIT_LUTG1(16'b1010110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b6|ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [4],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [5]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [12],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n75 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u803_o ,\ethernet_i0/mac_test0/mac_top0/_al_u798_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [6],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [2]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1010110011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1010110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b7|ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [7],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [8]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [15],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n75 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u788_o ,\ethernet_i0/mac_test0/mac_top0/_al_u823_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [7],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [4]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1010110011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1010110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b8|ethernet_i0/mac_test0/mac_top0/icmp0/reg7_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [6],open_n51606}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [14],open_n51607}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n73 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1:0]),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u793_o ,\ethernet_i0/mac_test0/mac_top0/_al_u541_o }),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [8],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_seq [9]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(305)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg8_b1 (
.b({open_n51627,\ethernet_i0/mac_test0/mac_top0/icmp0/n78 }),
.c({open_n51628,\ethernet_i0/mac_test0/mac_top0/n2 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n51630,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.sr(RSTn_pad),
.q({open_n51652,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_read_addr [1]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(317)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(317)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(317)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg8_b2|ethernet_i0/mac_test0/mac_top0/icmp0/reg8_b3 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n78 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n78 }),
.c({\ethernet_i0/mac_test0/mac_top0/n2 [1],\ethernet_i0/mac_test0/mac_top0/n2 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_read_addr [2],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_read_addr [3]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(317)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(317)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(317)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg8_b4|ethernet_i0/mac_test0/mac_top0/icmp0/reg8_b5 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n78 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n78 }),
.c({\ethernet_i0/mac_test0/mac_top0/n2 [3],\ethernet_i0/mac_test0/mac_top0/n2 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_read_addr [4],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_read_addr [5]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(317)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(317)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(317)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg8_b6|ethernet_i0/mac_test0/mac_top0/icmp0/reg8_b7 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n78 ,\ethernet_i0/mac_test0/mac_top0/icmp0/n78 }),
.c({\ethernet_i0/mac_test0/mac_top0/n2 [5],\ethernet_i0/mac_test0/mac_top0/n2 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_read_addr [6],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rec_ram_read_addr [7]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(317)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_MSLICE #(
//.LUT0("~(~(D*~B)*~(C*A))"),
//.LUT1("~(~(D*~B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011001110100000),
.INIT_LUT1(16'b1011001110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b0|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u317_o ,\ethernet_i0/mac_test0/mac_top0/_al_u317_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u318_o ,\ethernet_i0/mac_test0/mac_top0/_al_u318_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [0],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [5]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [0],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [0],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [5]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1100000001010101),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b1111001101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b10|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u321_o ,\ethernet_i0/mac_test0/mac_top0/_al_u374_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c(\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [2:1]),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e(\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [10:9]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [10:9])); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1100000001010101),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b1111001101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b11|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u324_o ,\ethernet_i0/mac_test0/mac_top0/_al_u372_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [3],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [11],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [11],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [8]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1100000001010101),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b1111001101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b12|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u326_o ,\ethernet_i0/mac_test0/mac_top0/_al_u330_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [4],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [12],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [12],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [14]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1100000001010101),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b1111001101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b16|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b18 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u334_o ,\ethernet_i0/mac_test0/mac_top0/_al_u338_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [8],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [10]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [16],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [18]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [16],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [18]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1100000001010101),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b1111001101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b19|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b22 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u340_o ,\ethernet_i0/mac_test0/mac_top0/_al_u347_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [11],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [14]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [19],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [22]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [19],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [22]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_MSLICE #(
//.LUT0("~(~(D*~B)*~(C*A))"),
//.LUT1("~(~(D*~B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011001110100000),
.INIT_LUT1(16'b1011001110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b1|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u317_o ,\ethernet_i0/mac_test0/mac_top0/_al_u317_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u318_o ,\ethernet_i0/mac_test0/mac_top0/_al_u318_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [1],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [1],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [1],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [2]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1100000001010101),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b1111001101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b20|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b21 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u343_o ,\ethernet_i0/mac_test0/mac_top0/_al_u345_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [12],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [13]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [20],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [21]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [20],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [21]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1100000001010101),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b1111001101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b23|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b26 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u349_o ,\ethernet_i0/mac_test0/mac_top0/_al_u355_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [15],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [18]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [23],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [26]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [23],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [26]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1100000001010101),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b1111001101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b24|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b25 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u351_o ,\ethernet_i0/mac_test0/mac_top0/_al_u353_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [16],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [17]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [24],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [25]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [24],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [25]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1100000001010101),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b1111001101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b27|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b30 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u357_o ,\ethernet_i0/mac_test0/mac_top0/_al_u364_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [19],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [22]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [27],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [30]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [27],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [30]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTF1("(~A*~((0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))*~(D)+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*~(D)+~(~A)*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D+~A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)*D)"),
//.LUTG0("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
//.LUTG1("(~A*~((1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))*~(D)+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*~(D)+~(~A)*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D+~A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000001010101),
.INIT_LUTF1(16'b1100000001010101),
.INIT_LUTG0(16'b1111001101010101),
.INIT_LUTG1(16'b1111001101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b28|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b29 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u359_o ,\ethernet_i0/mac_test0/mac_top0/_al_u361_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [20],\ethernet_i0/mac_test0/mac_top0/icmp0/n84 [21]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [28],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [29]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [28],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [29]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*~B)*~(C*A))"),
//.LUTF1("~(~(D*~B)*~(C*A))"),
//.LUTG0("~(~(D*~B)*~(C*A))"),
//.LUTG1("~(~(D*~B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011001110100000),
.INIT_LUTF1(16'b1011001110100000),
.INIT_LUTG0(16'b1011001110100000),
.INIT_LUTG1(16'b1011001110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b3|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u317_o ,\ethernet_i0/mac_test0/mac_top0/_al_u317_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u318_o ,\ethernet_i0/mac_test0/mac_top0/_al_u318_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [3],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [7]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [3],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [3],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [7]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*~B)*~(C*A))"),
//.LUTF1("~(~(D*~B)*~(C*A))"),
//.LUTG0("~(~(D*~B)*~(C*A))"),
//.LUTG1("~(~(D*~B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011001110100000),
.INIT_LUTF1(16'b1011001110100000),
.INIT_LUTG0(16'b1011001110100000),
.INIT_LUTG1(16'b1011001110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b4|ethernet_i0/mac_test0/mac_top0/icmp0/reg9_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u317_o ,\ethernet_i0/mac_test0/mac_top0/_al_u317_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u318_o ,\ethernet_i0/mac_test0/mac_top0/_al_u318_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [4],\ethernet_i0/mac_test0/mac_top0/icmp0/n82 [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [4],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [4],\ethernet_i0/mac_test0/mac_top0/icmp0/checksum_tmp [6]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(375)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u0|icmp0/sub0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u0|icmp0/sub0/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [0],1'b0}),
.b({1'b1,open_n51983}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [0],open_n52003}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u0|icmp0/sub0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u10|icmp0/sub0/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u0|icmp0/sub0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u12|icmp0/sub0/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u0|icmp0/sub0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u14|icmp0/sub0/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u0|icmp0/sub0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u2|icmp0/sub0/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u0|icmp0/sub0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u4|icmp0/sub0/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u0|icmp0/sub0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u6|icmp0/sub0/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u0|icmp0/sub0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u8|icmp0/sub0/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub0/u0|icmp0/sub0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/ucout|icmp0/sub0/u15 (
.a({open_n52162,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [15]}),
.b({open_n52163,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub0/c15 ),
.f(\ethernet_i0/mac_test0/mac_top0/icmp0/n4 [16:15]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u0|icmp0/sub1/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u0|icmp0/sub1/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [1],1'b0}),
.b({1'b1,open_n52187}),
.f({\ethernet_i0/mac_test0/mac_top0/n0 [0],open_n52207}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u0|icmp0/sub1/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u10|icmp0/sub1/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [11:10]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/n0 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u0|icmp0/sub1/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u12|icmp0/sub1/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [13:12]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/n0 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u0|icmp0/sub1/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u14|icmp0/sub1/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [15:14]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/n0 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u0|icmp0/sub1/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u2|icmp0/sub1/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [3:2]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/n0 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u0|icmp0/sub1/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u4|icmp0/sub1/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [5:4]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/n0 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u0|icmp0/sub1/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u6|icmp0/sub1/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [7:6]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/n0 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u0|icmp0/sub1/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u8|icmp0/sub1/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [9:8]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/n0 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub1/u0|icmp0/sub1/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/ucout_al_u888 (
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub1/c15 ),
.f({open_n52388,\ethernet_i0/mac_test0/mac_top0/n0 [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub3/u0|icmp0/sub3/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub3/u0|icmp0/sub3/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [1],1'b0}),
.b({1'b1,open_n52394}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n50 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n52409,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/n2 [0],open_n52410}),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub3/c1 ),
.q({open_n52413,\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [4]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub3/u0|icmp0/sub3/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub3/u2|icmp0/sub3/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [3:2]),
.b(2'b01),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub3/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/n2 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub3/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub3/u0|icmp0/sub3/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub3/u4|icmp0/sub3/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [5:4]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub3/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/n2 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/icmp0/sub3/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/icmp0/sub3/u0|icmp0/sub3/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/icmp0/sub3/u6|icmp0/sub3/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [7:6]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/icmp0/sub3/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/n2 [6:5]));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(D)*~((0@C))+~(A)*B*~(D)*~((0@C))+A*B*~(D)*~((0@C))+~(A)*~(B)*D*~((0@C))+A*B*D*~((0@C))+~(A)*~(B)*~(D)*(0@C)+A*~(B)*~(D)*(0@C)+~(A)*B*~(D)*(0@C)+~(A)*~(B)*D*(0@C)+A*~(B)*D*(0@C)+~(A)*B*D*(0@C)+A*B*D*(0@C))"),
//.LUT1("(A*~(B)*~(D)*~((1@C))+~(A)*B*~(D)*~((1@C))+A*B*~(D)*~((1@C))+~(A)*~(B)*D*~((1@C))+A*B*D*~((1@C))+~(A)*~(B)*~(D)*(1@C)+A*~(B)*~(D)*(1@C)+~(A)*B*~(D)*(1@C)+~(A)*~(B)*D*(1@C)+A*~(B)*D*(1@C)+~(A)*B*D*(1@C)+A*B*D*(1@C))"),
.INIT_LUT0(16'b1111100101111110),
.INIT_LUT1(16'b1001111111100111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1015 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1014_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1014_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [4]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [5]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [2]}),
.mi({open_n52493,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [3]}),
.fx({open_n52498,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/eq1/or_xor_i0[4]_i1[4]_o_o_lutinv }));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1016|ethernet_i0/mac_test0/mac_top0/icmp0/reg3_b8 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [6],open_n52503}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/icmp0/n31 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/eq1/or_xor_i0[4]_i1[4]_o_o_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [0]}),
.mi({open_n52514,\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [8]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1016_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1014_o }),
.q({open_n52518,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [8]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(252)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1019|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1016_o ,open_n52519}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u640_o ,open_n52520}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n3 [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [4]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/eq1/or_xor_i0[2]_i1[2]_o_o_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1019_o ,open_n52537}),
.q({open_n52541,\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [4]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u521|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u604 (
.a({open_n52542,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u526_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [1]}),
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [4:3]),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [4]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u521_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n11_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*~B*D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u522|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [0],open_n52569}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n11_lutinv }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u521_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*A)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000100000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u526|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u527 (
.a({open_n52590,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u526_o }),
.b({open_n52591,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [3]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [4]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u526_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 }));
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(317)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C@B))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(D*~(C@B))"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001100000000),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b1100001100000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u533|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg11_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [0],open_n52612}),
.b(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [1:0]),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [3],\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n97_lutinv ,open_n52630}),
.q({open_n52634,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [0]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(317)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~D*C*~B*A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u535|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u691 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n83_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n93_lutinv }));
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u551|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b24 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n73 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u549_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u554_o }),
.mi({open_n52671,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n43 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n47 }),
.q({open_n52675,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [24]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u552|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b13 (
.a({open_n52676,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u549_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [13]}),
.e({open_n52678,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [13]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n41 ,open_n52693}),
.q({open_n52697,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [13]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u553|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b10 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0],open_n52700}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u546_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n77 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u549_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u549_o }),
.mi({open_n52711,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n39 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n45 }),
.q({open_n52715,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [10]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u556|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b19 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n75 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u554_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u554_o }),
.mi({open_n52728,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n57 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n49 }),
.q({open_n52732,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [19]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(62)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u606|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg15_b0 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rx_req }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u521_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,open_n52748}),
.q({open_n52752,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [0]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(62)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u639|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b15 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [10],open_n52753}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [11],open_n52754}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u639_o ,open_n52768}),
.q({open_n52772,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [15]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~0*~D*~C*~B*A)"),
//.LUTG0("(~D)"),
//.LUTG1("(~1*~D*~C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u640|scan_unit/scan_clk_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u639_o ,open_n52773}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [14],open_n52774}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [15],open_n52775}),
.ce(\scan_unit/n0 ),
.clk(clk_pad),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [8],\scan_unit/scan_clk }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [9],open_n52776}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u640_o ,open_n52792}),
.q({open_n52796,\scan_unit/scan_clk })); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(317)
EG_PHY_MSLICE #(
//.LUT0("(B*~(~C*~D))"),
//.LUT1("(~D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011000000),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u647|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg11_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [0],open_n52797}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [1],\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n97_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n85_lutinv ,open_n52811}),
.q({open_n52815,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [3]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(317)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*~A)"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b0000000001000000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u656|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u682 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n89_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n95_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*~A)"),
//.LUTF1("(~D*~C*B*~A)"),
//.LUTG0("(~D*C*~B*~A)"),
//.LUTG1("(~D*~C*B*~A)"),
.INIT_LUTF0(16'b0000000000010000),
.INIT_LUTF1(16'b0000000000000100),
.INIT_LUTG0(16'b0000000000010000),
.INIT_LUTG1(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u665|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u700 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n91_lutinv }));
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(76)
EG_PHY_MSLICE #(
//.LUT0("~(~(D*B)*~(C*A))"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110110010100000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u709|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg16_b2 (
.a({open_n52860,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n3_neg_lutinv }),
.b({open_n52861,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n19_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u864_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n1_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u709_o ,open_n52875}),
.q({open_n52879,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [2]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(76)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(76)
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u710|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg16_b7 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n1_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_error }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u709_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u710_o ,open_n52895}),
.q({open_n52899,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [7]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(76)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~D)"),
//.LUT1("(~D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000011),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u739|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crcre_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u738_o ,open_n52900}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u709_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n20_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n21_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n20_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n31 }),
.q({open_n52917,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre })); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
EG_PHY_MSLICE #(
//.LUT0("(~0*D*C*B*A)"),
//.LUT1("(~1*D*C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u740 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n20_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n20_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u732_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u732_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [3]}),
.mi({open_n52930,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [4]}),
.fx({open_n52935,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b0_sel_is_3_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*C*B*A)"),
//.LUTF1("(~D*C*~B*A)"),
//.LUTG0("(~1*D*C*B*A)"),
//.LUTG1("(~D*C*~B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000000000100000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u742|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u741 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n20_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n20_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u730_o }),
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [3:2]),
.d(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [4:3]),
.e({open_n52940,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [4]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u742_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b10_sel_is_3_o }));
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u744|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b5 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [0],open_n52963}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u732_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u742_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u742_o }),
.mi({open_n52967,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b24_sel_is_3_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b32_sel_is_3_o }),
.q({open_n52982,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [5]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u747|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b31 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [3],open_n52985}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u736_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u776_o }),
.mi({open_n52989,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [31]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u747_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n1_lutinv }),
.q({open_n53004,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [31]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(62)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(C*~(D*B)))"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011101011111010),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u810|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg15_b2 (
.a({open_n53005,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n46 }),
.b({open_n53006,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1019_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u812_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u810_o ,open_n53020}),
.q({open_n53024,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [2]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(62)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(62)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*B)*~(0*C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("~(~(D*B)*~(1*C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1110110010100000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u811|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg15_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u642_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1019_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n11_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u905_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u643_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u810_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 }),
.e({open_n53026,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u812_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n45 ,open_n53041}),
.q({open_n53045,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [3]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(62)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(62)
EG_PHY_LSLICE #(
//.LUTF0("~(~(0*B)*~(D*~(C*A)))"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("~(~(1*B)*~(D*~(C*A)))"),
//.LUTG1("(~D*~C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101111100000000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b1101111111001100),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u821|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg15_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u817_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u642_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u643_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u813_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n11_lutinv }),
.e({open_n53047,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rx_req }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n65 ,open_n53062}),
.q({open_n53066,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [1]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(62)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u829|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u824 (
.a({open_n53067,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u738_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u709_o }),
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [3:2]),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u738_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u829_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n21_lutinv }));
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(76)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(~D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u831|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg16_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u829_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u924_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u830_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b1/B8 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n21_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b1/B8 ,open_n53101}),
.q({open_n53105,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [0]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(76)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(D*~(C*B))"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u832|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u864 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u747_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u732_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u829_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n20_lutinv }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n19_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u864_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*~A)"),
//.LUT1("(D*~C*B*~A)"),
.INIT_LUT0(16'b0100000000000000),
.INIT_LUT1(16'b0000010000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u835|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u844 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [41],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [17]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [42],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [26]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [43],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [30]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [44],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [48]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u835_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u844_o }));
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(~D*C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b0000000000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u836|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b19 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [49],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n93_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [5],\ethernet_i0/mac_test0/gmii_rxd_d0 [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [50],\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [51],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [19]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u836_o ,open_n53165}),
.q({open_n53169,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [19]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u837|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b60 (
.a({open_n53170,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n83_lutinv }),
.b({open_n53171,\ethernet_i0/mac_test0/gmii_rxd_d0 [4]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [40],\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [60]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u837_o ,open_n53185}),
.q({open_n53189,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [60]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*~C*B*A)"),
//.LUTF1("(~0*D*C*B*A)"),
//.LUTG0("(~1*D*~C*B*A)"),
//.LUTG1("(~1*D*C*B*A)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u838|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u840 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u835_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u839_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u836_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [52]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u837_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [53]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [38],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [54]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [39],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [55]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u838_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u840_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*A)"),
.INIT_LUT0(16'b0000000000100000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u839 (
.a({open_n53212,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [56]}),
.b({open_n53213,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [57]}),
.c({open_n53214,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [58]}),
.d({open_n53217,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [59]}),
.f({open_n53231,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u839_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*C*B*A)"),
//.LUT1("(~1*~D*C*B*A)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u845 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u844_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u844_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [10]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [16],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [16]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [19],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [19]}),
.mi({open_n53249,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [23]}),
.fx({open_n53254,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u845_o }));
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*A)"),
//.LUT1("(~D*~C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000100000),
.INIT_LUT1(16'b0000000000000100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u850|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg1_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [27],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [12]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [15]}),
.mi({open_n53268,\ethernet_i0/mac_test0/gmii_rxd_d0 [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u850_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u846_o }),
.q({open_n53272,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [1]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~D*C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u851|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg1_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [34],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u838_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [35],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u840_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [36],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u841_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [37],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u842_o }),
.mi({open_n53284,\ethernet_i0/mac_test0/gmii_rxd_d0 [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u851_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u843_o }),
.q({open_n53288,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [6]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*~A)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~D*C*~B*~A)"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b0000000000010000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000000000010000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u852|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u853 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [1]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [11]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [33],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [24]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [45],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [25]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u853_o }));
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u903|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b11 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [4],open_n53313}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [5],open_n53314}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u903_o ,open_n53328}),
.q({open_n53332,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [11]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~(B*A))"),
.INIT_LUT0(16'b0000000000000111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u905 (
.a({open_n53333,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u902_o }),
.b({open_n53334,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u904_o }),
.c({open_n53335,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_checksum_error }),
.d({open_n53338,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rx_end }),
.f({open_n53352,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u905_o }));
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(106)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0@D))"),
//.LUTF1("(~(D*~B)*~(~C*A))"),
//.LUTG0("(C*B*A*~(1@D))"),
//.LUTG1("(~(D*~B)*~(~C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b1100010011110101),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1100010011110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u907|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_end_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u913_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u921_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u922_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [6]}),
.e({open_n53359,\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u907_o ,open_n53374}),
.q({open_n53378,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rx_end })); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(106)
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*B)*~(~C*A))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~(~D*B)*~(~C*A))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1111010100110001),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1111010100110001),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u909|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u916 (
.a({open_n53379,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [4]}),
.b({open_n53380,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [3]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [6]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u909_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u916_o }));
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(~(~D*B)*~(C*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b1010111100100011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u912|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u640_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [5]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n46 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [7]}),
.mi({open_n53415,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u912_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u641_o }),
.q({open_n53419,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [0]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u913|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u908_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u901_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u910_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u812_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u911_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n46 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u912_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [7]}),
.mi({open_n53423,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u913_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u902_o }),
.q({open_n53438,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [6]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*B)*~(~D*A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(~C*B)*~(~D*A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111001101010001),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111001101010001),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u914|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u919 (
.a({open_n53439,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [10]}),
.b({open_n53440,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [12]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [11]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [9]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u914_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u919_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*~C)*~(D@B))"),
//.LUT1("(~A*~(1*~C)*~(D@B))"),
.INIT_LUT0(16'b0100010000010001),
.INIT_LUT1(16'b0100000000010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u915 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u914_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u914_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [7]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [12]}),
.mi({open_n53477,\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [6]}),
.fx({open_n53482,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u915_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D@C))"),
//.LUTG0("(B*A*~(D@C))"),
.INIT_LUTF0(16'b1000000000001000),
.INIT_LUTG0(16'b1000000000001000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u917 (
.a({open_n53485,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u915_o }),
.b({open_n53486,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u916_o }),
.c({open_n53487,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [8]}),
.d({open_n53490,\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [7]}),
.f({open_n53508,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u917_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~(~D*B)*~(C*~A))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~(~D*B)*~(C*~A))"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1010111100100011),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1010111100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u920|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u901 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [10]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [11]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [12]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [13]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u920_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u901_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*~C)*~(D@B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~A*~(1*~C)*~(D@B))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0100010000010001),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0100000000010000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u921|ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u910 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u917_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u909_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u918_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [14]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u919_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [4]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u920_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [13]}),
.e({open_n53540,\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u921_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u910_o }));
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(218)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u924|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg5_b0 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,open_n53563}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n20_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n19_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u924_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u924_o ,open_n53577}),
.q({open_n53581,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [0]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(218)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/u0|arp0/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/u0|arp0/add0/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0],1'b0}),
.b({1'b1,open_n53582}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3:2]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n29 [0],open_n53597}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/c1 ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [3:2]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/u0|arp0/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/u2|arp0/add0/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n29 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/u0|arp0/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/u4|arp0/add0/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n29 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/u0|arp0/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/u6|arp0/add0/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n29 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/u0|arp0/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/u7_al_u1056 (
.a({open_n53666,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [7]}),
.b({open_n53667,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/add0/c7 ),
.f({open_n53686,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n29 [7]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~D*~(B*A)))"),
//.LUTG0("(C*~(~D*~(B*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000010000000),
.INIT_LUTG0(16'b1111000010000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_found_reg (
.a({open_n53692,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u984_o }),
.b({open_n53693,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u963_o }),
.c({open_n53694,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n14 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n53696,\ethernet_i0/mac_test0/arp_found }),
.sr(RSTn_pad),
.q({open_n53718,\ethernet_i0/mac_test0/arp_found })); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(114)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(55)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~D*A*(~(B)*C*~(0)+B*~(C)*0+~(B)*C*0))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~D*A*(~(B)*C*~(1)+B*~(C)*1+~(B)*C*1))"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000000000101000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_end_reg|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg7_b1 (
.a({open_n53719,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u721_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u576_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [2]}),
.e({open_n53721,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_req }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp_rx_end ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [1]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(55)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(127)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(127)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg0_b2|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg0_b1 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n29 [2:1]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u530_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u530_o }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [2:1])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(127)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(127)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(127)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg0_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg0_b0 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n29 [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n29 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u530_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u530_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(127)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(127)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(127)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg0_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg0_b5 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n29 [6:5]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u530_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u530_o }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [6:5])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(127)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(127)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(127)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg0_b7|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg0_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n29 [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n29 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u530_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u530_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [4]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(127)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b2 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [2]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b1 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n53879,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.q({open_n53896,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [1]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b11 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n32 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4:3]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [12:11])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b13|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b10 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n32 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [10]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b14|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b15 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n32 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [15]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*A)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(~D*C*B*A)"),
//.LUTG1("(C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b4|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b3 (
.a({open_n53966,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u545_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u546_o }),
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [3:2]),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u545_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [3]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4:3]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u549_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n34 }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [4:3])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b7 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [7]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b6 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n34 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n54022,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.q({open_n54039,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [6]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b8|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg1_b9 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n32 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [9]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(137)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u608_o ,\ethernet_i0/mac_test0/mac_top0/_al_u620_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u609_o ,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [6]}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u610_o ,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [7]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n49 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u611_o ,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [8]}),
.e({open_n54067,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [9]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u612_o ,\ethernet_i0/mac_test0/mac_top0/_al_u621_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [0],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [1]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(~0*A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG0("(~1*A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000010001000),
.INIT_LUTG0(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b10 (
.a({open_n54083,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u870_o }),
.b({open_n54084,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [10]}),
.c({open_n54085,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n47 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54086,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.e({open_n54087,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({open_n54089,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({open_n54101,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u930_o }),
.q({open_n54105,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [10]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111111100110101),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b15 (
.a({open_n54106,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [14]}),
.b({open_n54107,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [6]}),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1:0]),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n47 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.e({open_n54108,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u880_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u894_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [12],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [15]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTF1("(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTG0("(1*~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTG1("(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000011001010),
.INIT_LUTG0(16'b0000000011001010),
.INIT_LUTG1(16'b0000000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b14|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [13]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [5]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n47 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.e({open_n54124,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6:5]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b3_var/B1_1 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u902_o }),
.q(\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [14:13])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*~(B)*C*D))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*~(B)*C*D))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010011101010101),
.INIT_LUTF1(16'b0101001100001111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0101001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b16|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b18 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u946_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [26],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [16]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u925_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [24]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n45 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.e({open_n54140,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u926_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u947_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [16],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [18]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000010101100),
.INIT_LUTG0(16'b1111000010101100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b17 (
.a({open_n54156,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [32]}),
.b({open_n54157,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [40]}),
.c({open_n54158,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n45 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54159,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({open_n54163,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.f({open_n54175,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u946_o }),
.q({open_n54179,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [17]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b2 (
.a({open_n54180,\ethernet_i0/mac_test0/mac_top0/_al_u612_o }),
.b({open_n54181,\ethernet_i0/mac_test0/mac_top0/_al_u614_o }),
.c({open_n54182,\ethernet_i0/mac_test0/mac_top0/_al_u615_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n49 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54183,\ethernet_i0/mac_test0/mac_top0/_al_u616_o }),
.mi({open_n54194,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({open_n54195,\ethernet_i0/mac_test0/mac_top0/_al_u617_o }),
.q({open_n54199,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [2]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*~((D*C))*~(0)+A*(D*C)*~(0)+~(A)*(D*C)*0+A*(D*C)*0))"),
//.LUTF1("(C*~(~A*~(D*~B)))"),
//.LUTG0("(B*(A*~((D*C))*~(1)+A*(D*C)*~(1)+~(A)*(D*C)*1+A*(D*C)*1))"),
//.LUTG1("(C*~(~A*~(D*~B)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000100010001000),
.INIT_LUTF1(16'b1011000010100000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1011000010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b20|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b19 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u893_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b1_var/B1_0 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u894_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u883_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u883_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u880_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n45 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [1]}),
.e({open_n54200,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4:3]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u895_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u940_o }),
.q(\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [20:19])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTG0("(~A*~(D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTG0(16'b0001010101010101),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b21 (
.a({open_n54216,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u879_o }),
.b({open_n54217,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u880_o }),
.c({open_n54218,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [7]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n45 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54219,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.mi({open_n54223,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({open_n54235,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u881_o }),
.q({open_n54239,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [21]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(~0*(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D))"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(~1*(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D))"),
//.LUTG1("(1*D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b23|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b22 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u613_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u892_o }),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [22]}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [20],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [30]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n45 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.e({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [22],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7:6]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u614_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u893_o }),
.q(\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [23:22])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010110011110000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b24|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b26 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [16],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [17]}),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [25]}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u938_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n43 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u613_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b1_var/B1_0 }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [24],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [26]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b25 (
.a({open_n54268,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [23]}),
.b({open_n54269,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [24]}),
.c({open_n54270,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [25]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n43 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54271,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [26]}),
.mi({open_n54275,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.f({open_n54287,\ethernet_i0/mac_test0/mac_top0/_al_u609_o }),
.q({open_n54291,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [25]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000010101100),
.INIT_LUTG0(16'b1111000010101100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b27 (
.a({open_n54292,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [36]}),
.b({open_n54293,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [44]}),
.c({open_n54294,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n43 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54295,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({open_n54299,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({open_n54311,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u911_o }),
.q({open_n54315,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [27]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(0*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D))"),
//.LUTF1("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("(1*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D))"),
//.LUTG1("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1100111111000000),
.INIT_LUTG0(16'b1111000000110101),
.INIT_LUTG1(16'b1100111111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b29|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b28 (
.a({open_n54316,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [12]}),
.b({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [3],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [4]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n43 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.e({open_n54317,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5:4]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b3_var/B0_2 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1018_o }),
.q(\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [29:28])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111110101010),
.INIT_LUTG0(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b31 (
.a({open_n54333,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [47]}),
.b({open_n54334,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [31]}),
.c({open_n54335,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [23]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n43 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54336,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.e({open_n54337,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.mi({open_n54339,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({open_n54351,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u972_o }),
.q({open_n54355,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [31]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010100011011),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b33|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b32 (
.a({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1032_o }),
.b({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [22],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [42]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [34]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n41 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.e({open_n54356,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1:0]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u985_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1033_o }),
.q(\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [33:32])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010100011011),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b34|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b38 (
.a({open_n54372,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u985_o }),
.b({open_n54373,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [46]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n11_lutinv ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [38]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n41 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.e({open_n54374,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u986_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [34],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [38]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*B*~(~0*C)))"),
//.LUTF1("(D*~(~C*~(B*~A)))"),
//.LUTG0("(~A*~(D*B*~(~1*C)))"),
//.LUTG1("(D*~(~C*~(B*~A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101000101010101),
.INIT_LUTF1(16'b1111010000000000),
.INIT_LUTG0(16'b0001000101010101),
.INIT_LUTG1(16'b1111010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b35|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b36 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u986_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u971_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u988_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u972_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u663_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u659_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n41 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u661_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u973_o }),
.e({open_n54390,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [39]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u989_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u974_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [35],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [36]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(~1*~(~D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG1("(~C*B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100110101),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b39|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b37 (
.a({open_n54406,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [44]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [36]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n41 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.e({open_n54407,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u970_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1015_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [39],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [37]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b41|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b42 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [38],\ethernet_i0/mac_test0/mac_top0/_al_u618_o }),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [39],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [41]}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [4],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [42]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n39 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [40],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [43]}),
.e({open_n54423,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [44]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u618_o ,\ethernet_i0/mac_test0/mac_top0/_al_u619_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [41],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [42]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001100001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b45 (
.b({open_n54441,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.c({open_n54442,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n39 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54443,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u987_o }),
.mi({open_n54454,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({open_n54455,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u988_o }),
.q({open_n54459,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [45]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~(~B*~A)*~(C)*~(0)+~(~B*~A)*C*~(0)+~(~(~B*~A))*C*0+~(~B*~A)*C*0))"),
//.LUTF1("(~(D)*~(B)*~(C)+D*B*~(C)+~(D)*~(B)*C+D*~(B)*C+D*B*C)"),
//.LUTG0("(D*~(~(~B*~A)*~(C)*~(1)+~(~B*~A)*C*~(1)+~(~(~B*~A))*C*1+~(~B*~A)*C*1))"),
//.LUTG1("(~(D)*~(B)*~(C)+D*B*~(C)+~(D)*~(B)*C+D*~(B)*C+D*B*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000100000000),
.INIT_LUTF1(16'b1111110000110011),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1111110000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b46|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b43 (
.a({open_n54460,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1062_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1063_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1064_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n39 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u661_o }),
.e({open_n54461,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1064_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1065_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [46],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [43]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*~(~B*~(~D*A)))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*~(~B*~(~D*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100000011100000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100000011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b47|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b44 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1045_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [43]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1046_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [35]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u661_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1021_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n39 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2:1]),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1047_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1022_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [47],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [44]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010110011110000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [27],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [20]}),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [28],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [28]}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u911_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n49 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u608_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b4_var/B1_0 }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [5],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [3]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101001100001111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [19]}),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [27]}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u917_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n49 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u615_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u918_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [6],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [4]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~((C*B))*~(D)+A*(C*B)*~(D)+~(A)*(C*B)*D+A*(C*B)*D)"),
//.LUTG0("~(A*~((C*B))*~(D)+A*(C*B)*~(D)+~(A)*(C*B)*D+A*(C*B)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111101010101),
.INIT_LUTG0(16'b0011111101010101),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b7 (
.a({open_n54520,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b4_var/B1_0 }),
.b({open_n54521,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u880_o }),
.c({open_n54522,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [4]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n49 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54523,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.mi({open_n54527,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({open_n54539,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u913_o }),
.q({open_n54543,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [7]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b8 (
.a({open_n54544,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [0]}),
.b({open_n54545,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [1]}),
.c({open_n54546,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [10]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n47 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54547,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [11]}),
.mi({open_n54558,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.f({open_n54559,\ethernet_i0/mac_test0/mac_top0/_al_u616_o }),
.q({open_n54563,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [8]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_MSLICE #(
//.LUT0("(~D*(~A*~(B)*~(C)+~A*B*~(C)+~(~A)*B*C+~A*B*C))"),
//.LUT1("(~D*A*~(~C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011000101),
.INIT_LUT1(16'b0000000010100010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b9|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b11 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u878_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u918_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u763_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b3_var/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [23],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n47 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u879_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u920_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [9],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [11]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000010001000),
.INIT_LUTG0(16'b1010000010001000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b0 (
.a({open_n54577,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u874_o }),
.b({open_n54578,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [14]}),
.c({open_n54579,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n63 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54580,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.mi({open_n54584,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.f({open_n54596,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u888_o }),
.q({open_n54600,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [0]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*~D)"),
//.LUTF1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG0("(C*~B*~D)"),
//.LUTG1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000110000),
.INIT_LUTF1(16'b1010000010001000),
.INIT_LUTG0(16'b0000000000110000),
.INIT_LUTG1(16'b1010000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u874_o ,open_n54601}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n61 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u906_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u874_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [10],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [8]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000010001000),
.INIT_LUTG0(16'b1010000010001000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b11 (
.a({open_n54619,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u874_o }),
.b({open_n54620,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [11]}),
.c({open_n54621,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [3]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n61 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54622,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.mi({open_n54626,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({open_n54638,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u915_o }),
.q({open_n54642,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [11]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_MSLICE #(
//.LUT0("(D*~A*~(C*B))"),
//.LUT1("(C*~(~B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100000000),
.INIT_LUT1(16'b1100000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b15 (
.a({open_n54643,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u915_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u936_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u872_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u876_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [27]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n61 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u935_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u937_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u916_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [12],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [15]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_MSLICE #(
//.LUT0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010000010001000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b14|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u262_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u874_o }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u264_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [15]}),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u265_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [7]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n61 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u266_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u875_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [14],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [9]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000101010101),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b17|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b22 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u871_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u888_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u872_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u871_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u872_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n59 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [25],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [22]}),
.e({open_n54670,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [30]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u935_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u889_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [17],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [22]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(D*~C*B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000010000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b18|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b16 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [68],open_n54686}),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [69],open_n54687}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [70],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n59 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [71],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u258_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u870_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [18],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [16]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000010001000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1010000010001000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b7 (
.a({open_n54701,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u874_o }),
.b({\ethernet_i0/mac_test0/mac_top0/arp_reply_req ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [13]}),
.c({\ethernet_i0/mac_test0/arp_request_req ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [5]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n63 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n21_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel0_b0/B8 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u898_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [1],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [7]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~((~C*~B))*~(D)*~(0)+A*~((~C*~B))*~(D)*~(0)+~(A)*(~C*~B)*~(D)*~(0)+A*(~C*~B)*~(D)*~(0)+~(A)*(~C*~B)*D*~(0)+A*(~C*~B)*D*~(0)+A*~((~C*~B))*~(D)*0+A*(~C*~B)*~(D)*0+~(A)*~((~C*~B))*D*0+A*~((~C*~B))*D*0+~(A)*(~C*~B)*D*0+A*(~C*~B)*D*0)"),
//.LUTF1("(C*~(~B*D))"),
//.LUTG0("(~(A)*~((~C*~B))*~(D)*~(1)+A*~((~C*~B))*~(D)*~(1)+~(A)*(~C*~B)*~(D)*~(1)+A*(~C*~B)*~(D)*~(1)+~(A)*(~C*~B)*D*~(1)+A*(~C*~B)*D*~(1)+A*~((~C*~B))*~(D)*1+A*(~C*~B)*~(D)*1+~(A)*~((~C*~B))*D*1+A*~((~C*~B))*D*1+~(A)*(~C*~B)*D*1+A*(~C*~B)*D*1)"),
//.LUTG1("(C*~(~B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001111111111),
.INIT_LUTF1(16'b1100000011110000),
.INIT_LUTG0(16'b1111111110101010),
.INIT_LUTG1(16'b1100000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b21|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b19 (
.a({open_n54719,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u889_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u875_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u871_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u876_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u890_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n59 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u873_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4]}),
.e({open_n54720,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u877_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u891_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [21],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [19]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~A*(~D*~(B)*~(0)+~D*B*~(0)+~(~D)*B*0+~D*B*0))"),
//.LUTF1("(D*A*~(C*B))"),
//.LUTG0("(~C*~A*(~D*~(B)*~(1)+~D*B*~(1)+~(~D)*B*1+~D*B*1))"),
//.LUTG1("(D*A*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000101),
.INIT_LUTF1(16'b0010101000000000),
.INIT_LUTG0(16'b0000010000000100),
.INIT_LUTG1(16'b0010101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b23|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b20 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u927_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u929_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u930_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n59 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u931_o }),
.e({open_n54736,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u931_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u932_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [23],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [20]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~C*~(~B*D))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~C*~(~B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000110000001111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000110000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b24|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b26 (
.a({open_n54752,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u871_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u947_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u872_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u956_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [23]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n57 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u955_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [31]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u957_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u873_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [24],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [26]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_LSLICE #(
//.LUTF0("(D*(A*~(B)*~(C)+~(A)*B*~(C)+A*B*C))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(D*(A*~(B)*~(C)+~(A)*B*~(C)+A*B*C))"),
//.LUTG1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000011000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1000011000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b25|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b29 (
.a({open_n54770,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.b({open_n54771,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n57 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n10 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u951_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [25],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [29]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*~A)"),
//.LUTF1("~((~C*~A)*~(B)*~(D)+(~C*~A)*B*~(D)+~((~C*~A))*B*D+(~C*~A)*B*D)"),
//.LUTG0("(~D*C*B*~A)"),
//.LUTG1("~((~C*~A)*~(B)*~(D)+(~C*~A)*B*~(D)+~((~C*~A))*B*D+(~C*~A)*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000001000000),
.INIT_LUTF1(16'b0011001111111010),
.INIT_LUTG0(16'b0000000001000000),
.INIT_LUTG1(16'b0011001111111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b28|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b31 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u949_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u950_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u951_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n57 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4:3]),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b0_var/B4_0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u872_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [28],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [31]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000101010101),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b30|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b27 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u871_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u906_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u872_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u871_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u872_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n57 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [20]}),
.e({open_n54806,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [28]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u897_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u907_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [30],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [27]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_MSLICE #(
//.LUT0("(A*(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000100010100000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [52],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u874_o }),
.b({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [53],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [54],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [9]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n63 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [55],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3:2]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u266_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u936_o }),
.q(\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [3:2])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(C*~(~B*D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(C*~(~B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011011111111111),
.INIT_LUTF1(16'b1100000011110000),
.INIT_LUTG0(16'b1111111111000011),
.INIT_LUTG1(16'b1100000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b4|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b6 (
.a({open_n54835,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/op [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u898_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u876_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n63 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u897_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.e({open_n54836,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u899_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u950_o }),
.q({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [4],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [6]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b1 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n79 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [1]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b11 (
.c({open_n54883,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n77 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54884,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0]}),
.mi({open_n54888,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({open_n54900,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u546_o }),
.q({open_n54904,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [11]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b15 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n77 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [15]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b13|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b14 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n77 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [14]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b17|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b18 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n75 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [18]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b2 (
.b({open_n54976,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [2]}),
.c({open_n54977,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [3]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n79 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n54978,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u545_o }),
.mi({open_n54989,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({open_n54990,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u554_o }),
.q({open_n54994,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [2]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b20|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b21 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n75 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [21]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b22|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b16 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n75 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [16]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b23 (
.b({open_n55047,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [2]}),
.c({open_n55048,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [3]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n75 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n55049,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u559_o }),
.mi({open_n55060,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({open_n55061,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u565_o }),
.q({open_n55065,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [23]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b25 (
.b({open_n55068,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [2]}),
.c({open_n55069,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [3]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n73 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n55070,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u559_o }),
.mi({open_n55081,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.f({open_n55082,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u570_o }),
.q({open_n55086,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [25]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTG0("(C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTG0(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b27 (
.b({open_n55089,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [1]}),
.c({open_n55090,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp_rx_req }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n73 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n55091,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [0]}),
.mi({open_n55095,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({open_n55107,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u531_o }),
.q({open_n55111,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [27]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(~C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b28|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b29 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n73 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u570_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u570_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n61 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n63 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [29]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(~C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b30|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b31 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n73 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u565_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u565_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n75 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n77 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [30],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [31]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b33|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b32 (
.b({open_n55148,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u546_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n71 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u565_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u565_o }),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1:0]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n79 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n73 }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [33:32])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b36|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b35 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u970_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u975_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u971_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u976_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u972_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u977_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n71 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u973_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u978_o }),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4:3]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u974_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u979_o }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [36:35])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*A)"),
//.LUTF1("(D*~C*~B*~A)"),
//.LUTG0("(~D*~C*~B*A)"),
//.LUTG1("(D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b0000000100000000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b0000000100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b37|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b39 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [42],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [2]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [43],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [22]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [45],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [24]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n71 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [25]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u973_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u982_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [37],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [39]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~D*~C*B*~A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b38|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b34 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u974_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u979_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u981_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n71 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u983_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u984_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u980_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [38],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [34]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b4 (
.a({open_n55213,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [35]}),
.b({open_n55214,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [36]}),
.c({open_n55215,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [38]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n79 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n55216,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [40]}),
.mi({open_n55227,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.sr(RSTn_pad),
.f({open_n55228,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u978_o }),
.q({open_n55232,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [4]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(~D*~C*B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000000000100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b41|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b40 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [41],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [28]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [44],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [46],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [31]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n69 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [47],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [33]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1:0]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u976_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u977_o }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [41:40])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*~C*~B*A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(1*D*~C*~B*A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b42|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b46 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u982_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [14]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n69 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [26],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [16]}),
.e({open_n55246,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [19]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u972_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u983_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [42],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [46]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*C*~B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(1*~D*C*~B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b43|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b44 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u969_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [27]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [29]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n69 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [30]}),
.e({open_n55262,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [32]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u971_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u970_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [43],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [44]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*~A)"),
//.LUT1("(~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000000000000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b45|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b47 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [34],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [6]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [37],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [39],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [8]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n69 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [9]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u969_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u975_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [45],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [47]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*~C*~B*~A)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~1*D*~C*~B*~A)"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b3 (
.a({open_n55291,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [0]}),
.b({open_n55292,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n79 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [3]}),
.e({open_n55293,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rec_error }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u966_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n14 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [3]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*C*~B*A)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~1*~D*C*~B*A)"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b7 (
.a({open_n55309,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u980_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n79 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n14 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [10]}),
.e({open_n55310,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [11]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u965_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u981_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [7]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b8|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b9 (
.b({open_n55328,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u546_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n77 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u570_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u570_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n71 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n69 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [9]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b1 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n95 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [1]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b15 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n92 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [15]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b13|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b10 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n92 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [10]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b14 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n92 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n55434,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.q({open_n55451,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [14]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b16|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b19 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n89 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [16],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [19]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b17|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b18 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n89 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [18]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b20|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b23 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n89 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [23]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b22 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n89 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n55543,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.q({open_n55549,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [22]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b24 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n87 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n55561,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.q({open_n55578,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [24]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~B*A)"),
//.LUT1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b25|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b31 (
.a({open_n55579,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u277_o }),
.b({open_n55580,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rx_end ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n87 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp_rx_end ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n11_neg_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [31]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*~A)"),
//.LUT1("(~C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000010000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b26|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b27 (
.a({open_n55594,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0]}),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [2:1]),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [3:2]),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n87 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n24 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n7_lutinv }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [26],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [27]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0011001100001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b28|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b30 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n87 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u711_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u712_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [30]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*~A)"),
//.LUTG0("(D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTG0(16'b0000000100000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b29 (
.a({open_n55623,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [13]}),
.b({open_n55624,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [14]}),
.c({open_n55625,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [16]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n87 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n55626,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [19]}),
.mi({open_n55630,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({open_n55642,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u950_o }),
.q({open_n55646,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [29]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(~C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b4 (
.a({open_n55647,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u954_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u959_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u960_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n95 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u560_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u961_o }),
.e({open_n55648,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u962_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n89 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u963_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [4]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [11],open_n55664}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_ready }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n95 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u277_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u957_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u721_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [2]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u950_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u951_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u952_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n95 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u953_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u958_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u954_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [7]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110100111101011),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1110100111101011),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b8|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b11 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u955_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u956_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u957_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n92 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u958_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_req }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u959_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/sel0_b0/B2_0 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [11]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~A)"),
//.LUTG0("(~D*~C*B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTG0(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b9 (
.a({open_n55712,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [2]}),
.b({open_n55713,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [21]}),
.c({open_n55714,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [24]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n92 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n55715,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [25]}),
.mi({open_n55719,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.f({open_n55731,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u951_o }),
.q({open_n55735,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [9]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(46)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(46)
EG_PHY_LSLICE #(
//.LUTF0("~(~(~D*~C*B)*~(0)*~(A)+~(~D*~C*B)*0*~(A)+~(~(~D*~C*B))*0*A+~(~D*~C*B)*0*A)"),
//.LUTF1("(A*~(~D*~C*B))"),
//.LUTG0("~(~(~D*~C*B)*~(1)*~(A)+~(~D*~C*B)*1*~(A)+~(~(~D*~C*B))*1*A+~(~D*~C*B)*1*A)"),
//.LUTG1("(A*~(~D*~C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101010101110),
.INIT_LUTF1(16'b1010101010100010),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b1010101010100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg6_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg6_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u530_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n6_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u531_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u531_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [3]}),
.e({open_n55737,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/next_state [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [1]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(46)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(46)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(46)
EG_PHY_LSLICE #(
//.LUTF0("~(~(C*~B)*~(~0*D*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~(C*~B)*~(~1*D*A))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101000110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0011000000110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg6_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg6_b2 (
.a({open_n55757,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u576_o }),
.b({open_n55758,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n3_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n7_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n7_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n3_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0]}),
.e({open_n55760,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1]}),
.sr(RSTn_pad),
.f({open_n55775,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/next_state [2]}),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [3:2])); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(46)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(C@B@D)"),
//.LUT1("~(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001100111100),
.INIT_LUT1(16'b1111000000001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b15 (
.b({open_n55781,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u597_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [7]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u890_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u518_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [15]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("~(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b11 (
.c({open_n55803,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [3]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n55804,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u894_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({open_n55822,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [11]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_LSLICE #(
//.LUTF0("(C@B@D)"),
//.LUTF1("(D@C@B@A)"),
//.LUTG0("(C@B@D)"),
//.LUTG1("(D@C@B@A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001100111100),
.INIT_LUTF1(16'b0110100110010110),
.INIT_LUTG0(16'b1100001100111100),
.INIT_LUTG1(16'b0110100110010110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b17|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b24 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u599_o ,open_n55823}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [30],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u517_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [16]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u591_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [24]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b1100001100111100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b18|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b14 (
.a({open_n55845,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u518_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u517_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u593_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u509_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u593_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [6]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [14]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b1100001100111100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b6 (
.a({open_n55863,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u597_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u517_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u593_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u589_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u517_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/CrcNext[0] ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u589_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [6]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b20|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b27 (
.a({open_n55881,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u597_o }),
.b({open_n55882,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u517_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u589_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u509_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [19]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [27]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(C@B@D)"),
//.LUT1("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001100111100),
.INIT_LUT1(16'b1100001100111100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b21|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b31 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [29]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [23]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [31]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b22|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b5 (
.a({open_n55919,\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/CrcNext[0] }),
.b({open_n55920,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u518_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u597_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u507_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u589_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [5]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(C@B@D)"),
//.LUT1("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001100111100),
.INIT_LUT1(16'b1100001100111100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b23|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b4 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u589_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u593_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u507_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/CrcNext[0] ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u893_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [4]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_LSLICE #(
//.LUTF0("(C@D)"),
//.LUTG0("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111110000),
.INIT_LUTG0(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b25 (
.c({open_n55961,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [17]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n55962,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u515_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({open_n55984,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [25]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b1100001100111100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b26|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b13 (
.a({open_n55985,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u518_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u893_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u599_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u593_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/CrcNext[0] ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [5]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [26],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [13]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(D@C@B@A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b0110100110010110),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b28|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b29 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u593_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u518_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [21]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [30]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [2:1]),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [29]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(C@B@D)"),
//.LUT1("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001100111100),
.INIT_LUT1(16'b1100001100111100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b30|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b0 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u517_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [30]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u509_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u507_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.f({open_n56034,\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/CrcNext[0] }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [30],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [0]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("~(D@C@B@A)"),
//.LUT1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001011001101001),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b12 (
.a({open_n56038,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u892_o }),
.b({open_n56039,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u597_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u591_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u593_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u518_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [4]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [12]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("~(C@B@D)"),
//.LUT1("~(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011110011000011),
.INIT_LUT1(16'b1111000000001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b7|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b2 (
.b({open_n56059,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u593_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u517_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u517_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u890_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u892_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [2]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(C@D)"),
//.LUT1("~(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111110000),
.INIT_LUT1(16'b1111000000001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b8|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b19 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [11]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u894_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u518_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [19]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(C@B@D)"),
//.LUT1("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001100111100),
.INIT_LUT1(16'b1100001100111100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b9|ethernet_i0/mac_test0/mac_top0/mac_rx0/c0/reg0_b16 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u597_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u507_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [8]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u591_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u597_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [16]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/ucin_al_u1041"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/u11_al_u1044 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/ucin_al_u1041"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/u15_al_u1045 (
.a({open_n56135,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [15]}),
.c(2'b00),
.d({open_n56140,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/c15 ),
.f({open_n56157,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [15]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/ucin_al_u1041"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/u3_al_u1042 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/ucin_al_u1041"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/u7_al_u1043 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/ucin_al_u1041"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/ucin_al_u1041 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.c(2'b00),
.clk(clk_pad),
.d(2'b01),
.e(2'b01),
.mi(\scan_unit/n2 [24:23]),
.sr(\scan_unit/n0 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [1],open_n56212}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add0/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [0]}),
.q(\scan_unit/cnt [24:23]));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/ucin_al_u1032"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/u11_al_u1035 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [5],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [6],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [11]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [12]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/ucin_al_u1032"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/u15_al_u1036 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [7]}),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [15]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [16]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [15]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c19 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/ucin_al_u1032"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/u19_al_u1037 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [19]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [20]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c19 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [19]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c23 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [20]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/ucin_al_u1032"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/u23_al_u1038 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [23]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [26],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [24]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c23 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [23]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c27 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [26],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [24]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/ucin_al_u1032"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/u27_al_u1039 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [27]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [30],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [28]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c27 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [27]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c31 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [30],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [28]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/ucin_al_u1032"),
//.R_POSITION("X0Y4Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/u31_al_u1040 (
.a({open_n56303,1'b0}),
.c(2'b00),
.d({open_n56308,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [31]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c31 ),
.f({open_n56325,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [31]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/ucin_al_u1032"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/u3_al_u1033 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [3]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [4]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/ucin_al_u1032"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/u7_al_u1034 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [2],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_data_d0 [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [7]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [8]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/ucin_al_u1032"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/ucin_al_u1032 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.c(2'b00),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [1],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [0]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [1:0]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [1],open_n56380}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add1/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [0]}),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [1:0]));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/ucin_al_u1046"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/u11_al_u1049 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [12]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [27]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [30],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [28]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/ucin_al_u1046"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/u15_al_u1050 (
.a({open_n56399,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [15]}),
.c(2'b00),
.d({open_n56404,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [31]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/c15 ),
.f({open_n56421,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [15]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/ucin_al_u1046"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/u3_al_u1047 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [19]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [20]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/ucin_al_u1046"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/u7_al_u1048 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [8]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [23]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [26],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [24]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/ucin_al_u1046"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/ucin_al_u1046 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [0]}),
.c(2'b00),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [17],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [16]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [11:10]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [1],open_n56476}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/add2/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [0]}),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [11:10]));
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(116)
EG_PHY_LSLICE #(
//.LUTF0("~(~(0*B)*~(~D*C*~A))"),
//.LUTF1("(0*~(D*C*B*A))"),
//.LUTG0("~(~(1*B)*~(~D*C*~A))"),
//.LUTG1("(1*~(D*C*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000001010000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1100110011011100),
.INIT_LUTG1(16'b0111111111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_addr_check_error_reg|ethernet_i0/mac_test0/mac_top0/icmp0/reg19_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1001_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n29 [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1006_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n38 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1008_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n39_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1010_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n10_lutinv }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ,\ethernet_i0/mac_test0/mac_top0/upper_data_req }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_addr_check_error ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [9]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C*B))"),
//.LUTG0("(A*~(D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101010101010),
.INIT_LUTG0(16'b0010101010101010),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_checksum_error_reg (
.a({open_n56497,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n92 }),
.b({open_n56498,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u790_o }),
.c({open_n56499,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u791_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n56501,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u792_o }),
.sr(RSTn_pad),
.q({open_n56523,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_checksum_error })); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(347)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_0|ip0/lt0_cin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [0],1'b0}),
.b({1'b0,open_n56524}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_10|ip0/lt0_9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c9 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_12|ip0/lt0_11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c11 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_14|ip0/lt0_13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c13 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_2|ip0/lt0_1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [2:1]),
.b(2'b11),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c1 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_4|ip0/lt0_3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [4:3]),
.b(2'b01),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c3 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_6|ip0/lt0_5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [6:5]),
.b(2'b01),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c5 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_8|ip0/lt0_7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c7 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_cout|ip0/lt0_15 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [15]}),
.b(2'b10),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/lt0_c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 ,open_n56736}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b2|ip0/sub3/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b10|ip0/reg0_b9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [10:9]),
.b(2'b00),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c7 ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c9 ),
.q(\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [10:9]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b2|ip0/sub3/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b12|ip0/reg0_b11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [12:11]),
.b(2'b00),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c9 ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c11 ),
.q(\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [12:11]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b2|ip0/sub3/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b14|ip0/reg0_b13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [14:13]),
.b(2'b00),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c11 ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c13 ),
.q(\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [14:13]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b2|ip0/sub3/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b15_al_u1057 (
.a({open_n56802,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [15]}),
.b({open_n56803,1'b0}),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c13 ),
.sr(RSTn_pad),
.q({open_n56825,\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [15]}));
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(153)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(153)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(C)*~((D@B))+A*~(C)*~((D@B))+A*C*~((D@B))+~(A)*~(C)*(D@B)+~(A)*C*(D@B)+A*C*(D@B))"),
//.LUT1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011110111100111),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b0 (
.a({open_n56826,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [2]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1014_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [1]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [1:0]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n3 [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/eq1/or_xor_i0[2]_i1[2]_o_o_lutinv }),
.q(\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [1:0])); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(153)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b2|ip0/sub3/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b2|ip0/sub3/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [2],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [0],open_n56841}),
.clk(\ethernet_i0/gmii_rx_clk ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c1 ),
.q({\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [2],open_n56863}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b2|ip0/sub3/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b4|ip0/reg0_b3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [4:3]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [2:1]),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c1 ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c3 ),
.q(\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [4:3]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b2|ip0/sub3/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b6|ip0/reg0_b5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [6:5]),
.b({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c3 ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c5 ),
.q(\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [6:5]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b2|ip0/sub3/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg0_b8|ip0/reg0_b7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [8:7]),
.b(2'b00),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c5 ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub3/c7 ),
.q(\ethernet_i0/mac_test0/mac_top0/upper_layer_data_length [8:7]));
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [7]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [7]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [13]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [13]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b14|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b16 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [16]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [16]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [16]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b17|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b18 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [18]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [18]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [18]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b19|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b20 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [19],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [20]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [19],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [20]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [19],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [20]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [2]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b21|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b22 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [22]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [22]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [22]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b23|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b24 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [24]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [24]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [24]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b25|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b26 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [26]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [26]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [26]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b27|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b28 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [27],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [28]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [27],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [28]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [27],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [28]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b29|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b30 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [30]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [30]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [30]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [4]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [4]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [6]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [6]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0111011100000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b0111001001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b8|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [9]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [9]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b6 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [6]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b11 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [11]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [11]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b15 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [15]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [15]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b13|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b14 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [14]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b4 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [4]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b5 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [5]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b7|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b8 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [8]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
EG_PHY_LSLICE #(
//.LUTF0("(~C*(A*~(B)*~(D)+A*~(B)*D+~(A)*B*D))"),
//.LUTG0("(~C*(A*~(B)*~(D)+A*~(B)*D+~(A)*B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000011000000010),
.INIT_LUTG0(16'b0000011000000010),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg11_b9 (
.a({open_n57381,\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.b({open_n57382,\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.c({open_n57383,\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n57384,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [0]}),
.mi({open_n57388,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n81 [9]}),
.sr(RSTn_pad),
.f({open_n57400,\ethernet_i0/mac_test0/mac_top0/_al_u318_o }),
.q({open_n57404,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [9]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(306)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(314)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(314)
EG_PHY_MSLICE #(
//.LUT0("(A*(B*~(C)*~(D)+~(B)*C*~(D)+B*~(C)*D+~(B)*C*D+B*C*D))"),
//.LUT1("(A*~(B*~(D*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010100000101000),
.INIT_LUT1(16'b1010001000100010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg12_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg12_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [1]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(314)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(314)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(A*~(~D*~(C*B)))"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(A*~(~D*~(C*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b1010101010000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b1010101010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg12_b2|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [0]}),
.e({open_n57424,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [0]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b4 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [4]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b9 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [10:9]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [10:9])); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b11|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b17 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [17]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [17]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b15 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [15]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [15]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b13|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b14 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [14]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b16|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b18 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [16],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [18]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [16],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [18]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b19|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b22 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [19],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [22]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [19],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [22]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b2 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [2]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b20|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b21 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [21]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [21]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*C*B*A)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~1*~D*C*B*A)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b23|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b25 (
.a({open_n57676,\ethernet_i0/mac_test0/mac_top0/_al_u414_o }),
.b({open_n57677,\ethernet_i0/mac_test0/mac_top0/_al_u254_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [4],\ethernet_i0/mac_test0/mac_top0/_al_u255_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [3],\ethernet_i0/mac_test0/mac_top0/_al_u562_o }),
.e({open_n57679,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_checksum_error }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [25]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u256_o ,\ethernet_i0/mac_test0/mac_top0/_al_u782_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [25]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b26|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg14_b13 (
.a({open_n57695,\ethernet_i0/mac_test0/mac_top0/_al_u538_o }),
.b(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4:3]),
.c(\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [5:4]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u531_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [26],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [13]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u532_o ,\ethernet_i0/mac_test0/mac_top0/_al_u546_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [26],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [13]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b28|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b27 (
.b({open_n57716,\ethernet_i0/mac_test0/mac_top0/_al_u562_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_checksum_error }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/icmp0/n34 }),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [28:27]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u534_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/sel0_b5/B8 }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [28:27])); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b5 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [5]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*~C*B*A)"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(~1*D*~C*B*A)"),
//.LUTG1("(1*D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg14_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u546_o ,\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u602_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n2_neg_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u603_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [10],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [11],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/n10_lutinv ,\ethernet_i0/mac_test0/mac_top0/_al_u785_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [2]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b7|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg13_b8 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_buf [8]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(329)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
EG_PHY_MSLICE #(
//.LUT0("~(~(D*B)*~(~C*A))"),
//.LUT1("(~C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100111000001010),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg14_b14|ethernet_i0/mac_test0/mac_top0/icmp0/reg19_b1 (
.a({open_n57803,\ethernet_i0/mac_test0/mac_top0/_al_u786_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [4],\ethernet_i0/mac_test0/mac_top0/icmp0/n31 }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [5],\ethernet_i0/mac_test0/mac_top0/icmp0/n10_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/icmp_rx_req }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [14],open_n57815}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/sel1_b0_def_10_sel_is_2_o ,open_n57816}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [14],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~(D*~B)*~(C*A)))"),
//.LUTF1("(D*A*~(~C*~(~0*B)))"),
//.LUTG0("(1*~(~(D*~B)*~(C*A)))"),
//.LUTG1("(D*A*~(~C*~(~1*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1010100000000000),
.INIT_LUTG0(16'b1011001110100000),
.INIT_LUTG1(16'b1010000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg14_b3|ethernet_i0/mac_test0/mac_top0/icmp0/reg19_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/n5_lutinv ,\ethernet_i0/mac_test0/mac_top0/_al_u764_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n5_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/n33_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n32_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/n2_neg_lutinv ,\ethernet_i0/mac_test0/mac_top0/icmp0/n33_lutinv }),
.e({\ethernet_i0/mac_test0/mac_top0/icmp0/icmp_data_length [0],\ethernet_i0/mac_test0/mac_top0/icmp0/n2_neg_lutinv }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [3],open_n57822}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/sel0_b3/or_B9_or_B10_B11_o_o_lutinv ,open_n57834}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [3],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]})); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(72)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000100000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg14_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg14_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_cnt [2]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [5:4]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u792_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n92 }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [5:4])); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg14_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg14_b12 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [0],open_n57852}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [1],\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [10],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [11],\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [12]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u789_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n33_lutinv }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [12]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(1*D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011100000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0111001001010000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg14_b7|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg10_b11 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u789_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u605_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n10_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n76 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [11]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [7],open_n57873}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u790_o ,open_n57885}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checksum_tmp [11]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(293)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg14_b9|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg14_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [6],\ethernet_i0/mac_test0/mac_top0/register$icmp0/reg19_b6$unified$with$const$0 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [7],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [8],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [9],\ethernet_i0/mac_test0/mac_top0/icmp0/state [2]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/check_out [9:8]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u791_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n31 }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/checkout_buf [9:8])); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(337)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(141)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(62)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg15_b4|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/icmp_rx_req_reg (
.a({open_n57907,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1019_o }),
.b({open_n57908,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1020_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u905_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1021_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/state [4],\ethernet_i0/mac_test0/mac_top0/icmp_rx_req })); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(141)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b13|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b10 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [10]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [10]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b14|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b12 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [12]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [12]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [4]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b1 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [1]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b0 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b8|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [2]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b9|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg3_b7 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n36 [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u645_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [7]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b9 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [10:9]),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [10:9])); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b11|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b14 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [14]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [11],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [14]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTG0("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b12 (
.c({open_n58147,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [12]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n58148,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 }),
.sr(RSTn_pad),
.q({open_n58170,\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [12]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b13|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [15]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [13],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [15]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("~(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1111111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b0 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [1:0]),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [1:0])); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~D)"),
//.LUT1("~(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111110000),
.INIT_LUT1(16'b1111111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b2|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b3 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [3]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [2],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [3]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("~(~C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("~(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1111111111110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1111111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [5],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [6]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b7|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg4_b8 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [8]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n38 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [7],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [8]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(189)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(204)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(204)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg5_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg5_b3 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n42 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [3]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(204)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(204)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(204)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg5_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg5_b2 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n42 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/header_length_buf [2]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(204)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~(C@B)*~(D@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1000001001000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [11],open_n58330}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [2],open_n58331}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [3]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n45 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [2]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u922_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u643_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [8]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b11|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b12 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u812_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n45 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u643_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u813_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u815_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [12]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~1*~D*C*B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b15|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b14 (
.a({open_n58366,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u642_o }),
.b({open_n58367,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n11_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u643_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n45 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.e({open_n58368,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [1]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7:6]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u812_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n42 }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [15:14])); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(B*A*~(D*~C))"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(B*A*~(D*~C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000000010001000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n13 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u903_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u907_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [14]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [15]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n46 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [2]}),
.e({open_n58384,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u908_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u904_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [2]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(D@B)*~(~C*A))"),
//.LUTF1("(~(D*~B)*~(C*~A))"),
//.LUTG0("(~1*~(D@B)*~(~C*A))"),
//.LUTG1("(~(D*~B)*~(C*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010000110001),
.INIT_LUTF1(16'b1000110010101111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1000110010101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [0],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n46 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [2]}),
.e({open_n58400,\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [15]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u911_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u918_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [4]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u641_o ,open_n58416}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u813_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n11_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [4]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n46 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u641_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n46 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u817_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [7]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*C*B*A)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(1*~D*C*B*A)"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b9|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg6_b13 (
.a({open_n58434,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u642_o }),
.b({open_n58435,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n12 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u815_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n45 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u641_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [2]}),
.e({open_n58436,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rx_cnt [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u642_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n50 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/ip_rec_data_length [13]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(212)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(222)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(222)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg7_b2|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg7_b3 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u643_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u643_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u815_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u812_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n50 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u817_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u817_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n67 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n71 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [3]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(222)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(222)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(222)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*B*A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~1*~D*~C*B*A)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg7_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg7_b6 (
.a({open_n58467,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u810_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u643_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u810_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n50 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u817_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [2]}),
.e({open_n58468,\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n69 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1020_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [6]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(222)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg7_b7 (
.a({open_n58484,\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [4]}),
.b({open_n58485,\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [5]}),
.c({open_n58486,\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n50 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n58487,\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [7]}),
.mi({open_n58498,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({open_n58499,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1021_o }),
.q({open_n58503,\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [7]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(222)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b1 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n71 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [1]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(~(B)*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+B*C*~(D)*~(0)+~(B)*~(C)*D*~(0)+B*~(C)*D*~(0)+~(B)*C*D*~(0)+B*C*D*~(0)+~(B)*~(C)*~(D)*0+B*C*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+B*C*D*0))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~A*(~(B)*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+B*C*~(D)*~(1)+~(B)*~(C)*D*~(1)+B*~(C)*D*~(1)+~(B)*C*D*~(1)+B*C*D*~(1)+~(B)*~(C)*~(D)*1+B*C*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+B*C*D*1))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010101010001),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0100010101000001),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/state [10],\ethernet_i0/mac_test0/mac_top0/icmp0/n45_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [11],\ethernet_i0/mac_test0/mac_top0/_al_u552_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [8],\ethernet_i0/mac_test0/mac_top0/_al_u417_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n69 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [9],\ethernet_i0/mac_test0/mac_top0/_al_u832_o }),
.e({open_n58527,\ethernet_i0/mac_test0/mac_top0/_al_u418_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u505_o ,\ethernet_i0/mac_test0/mac_top0/_al_u833_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [13]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~B*A)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b11|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b12 (
.a({open_n58543,\ethernet_i0/mac_test0/mac_top0/_al_u552_o }),
.b({open_n58544,\ethernet_i0/mac_test0/mac_top0/icmp0/mac_send_end_d0 }),
.c(\ethernet_i0/mac_test0/mac_top0/icmp0/state [11:10]),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n69 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/mac_send_end_d0 ,\ethernet_i0/mac_test0/mac_top0/icmp0/state [11]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u834_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/sel0_b11/B1 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [12]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~B*A)"),
//.LUTF1("~(C@D)"),
//.LUTG0("(~1*~D*~C*~B*A)"),
//.LUTG1("~(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b1111000000001111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b15|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b14 (
.a({open_n58558,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1009_o }),
.b({open_n58559,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [12]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [13]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n69 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [14]}),
.e({open_n58560,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [15]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7:6]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u832_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1010_o }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [15:14])); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b16|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b19 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n67 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [16],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [19]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b17|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b18 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n67 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [18]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*~A*~(C*B))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(1*~D*~A*~(C*B))"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0000000000010101),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b21|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b20 (
.a({\ethernet_i0/mac_test0/mac_top0/_al_u255_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/sel0_b0/B4 }),
.b({\ethernet_i0/mac_test0/mac_top0/_al_u413_o ,\ethernet_i0/mac_test0/mac_top0/_al_u831_o }),
.c({\ethernet_i0/mac_test0/mac_top0/_al_u256_o ,\ethernet_i0/mac_test0/mac_top0/_al_u833_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n67 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/state [9],\ethernet_i0/mac_test0/mac_top0/_al_u834_o }),
.e({open_n58626,\ethernet_i0/mac_test0/mac_top0/_al_u835_o }),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5:4]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u552_o ,\ethernet_i0/mac_test0/mac_top0/_al_u836_o }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [21:20])); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b23 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n67 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n58653,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.q({open_n58670,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [23]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b24|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b26 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n65 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [24],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [26]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b28|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b27 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n65 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4:3]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [28:27])); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b29|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b25 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n65 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [25]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b31 (
.a({open_n58744,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [16]}),
.b({open_n58745,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [17]}),
.c({open_n58746,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [18]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n65 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n58747,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [19]}),
.mi({open_n58758,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({open_n58759,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1007_o }),
.q({open_n58763,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [31]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b4|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [27],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [23]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [24]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [25]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n71 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [26]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1005_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1004_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [5]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*~C*~B*A)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~1*D*~C*~B*A)"),
//.LUTG1("(~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001000000000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [30],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1007_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [31],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [20]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n71 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [21]}),
.e({open_n58777,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [22]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1003_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1008_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [7]})); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
// ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b9|ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/reg9_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1002_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1003_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1004_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n69 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1005_o }),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1:0]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1002_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1006_o }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rec_destination_addr [9:8])); // ../rtl/Ethernet/sources_1/mac/rx/ip_rx.v(245)
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/ucin_al_u1051"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/u11_al_u1054 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [14],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [12]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [15],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [13]}),
.c(2'b11),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/ucin_al_u1051"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/u3_al_u1052 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [6],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [4]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [7],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [5]}),
.c(2'b11),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/ucin_al_u1051"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/u7_al_u1053 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [10],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [8]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [11],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [9]}),
.c(2'b11),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/ucin_al_u1051"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/ucin_al_u1051 (
.a({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [2],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [3],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [1]}),
.c(2'b11),
.d(2'b01),
.e(2'b01),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [1],open_n58877}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/ucin_al_u1051"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/ucout_al_u1055 (
.c(2'b11),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/sub1/c15 ),
.f({open_n58904,\ethernet_i0/mac_test0/mac_top0/mac_rx0/n1 [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u0|mac0/add0/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [0],1'b0}),
.b({1'b1,open_n58910}),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [6:5]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [0],open_n58926}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c1 ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6:5]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u10|mac0/add0/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u12|mac0/add0/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u14|mac0/add0/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u15_al_u1058 (
.a({open_n58995,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [15]}),
.b({open_n58996,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c15 ),
.f({open_n59015,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u2|mac0/add0/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u4|mac0/add0/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u6|mac0/add0/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/u8|mac0/add0/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/add0/c9 ));
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(167)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(177)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*C*B*A)"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(~1*~D*C*B*A)"),
//.LUTG1("(1*D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/arp_rx_req_reg|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/ip_rx_req_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u823_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u823_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n21_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n21_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u826_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u826_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [1]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n34 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n33 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp_rx_req ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_rx_req })); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(167)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(76)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(238)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(~D*~C*B))"),
//.LUT1("(~C*~(~D*~(B*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010101010101110),
.INIT_LUT1(16'b0000111100001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rec_error_reg|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg16_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u710_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b5/B4 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u862_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u830_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n1_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rec_error ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_error }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rec_error ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [5]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(76)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg0_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg0_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n31 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n31 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [6]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg0_b4|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg0_b1 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n31 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n31 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [1]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg0_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crcen_reg (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [5],open_n59197}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n31 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n31 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen })); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg0_b7|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg0_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n31 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n31 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [2]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(~C*~B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b9 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b10_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u560_o }),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2:1]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u279_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n92 }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [10:9])); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~D*C*~B*~A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~D*C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000010000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [27],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [6]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [31],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [8]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b10_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [9]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u962_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u960_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [13]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*A)"),
//.LUT1("(~D*C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000100000),
.INIT_LUT1(16'b0000000000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b14|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b15 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u279_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [4]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b10_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u953_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [15]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0000000100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b17|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b18 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [2]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [4]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b16_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u578_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u575_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [18]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(~D*C*~B*~A)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(~D*C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000000000010000),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0000000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [28],open_n59296}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u712_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [3]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o }),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1:0]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u961_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n18 }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [1:0])); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b20|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b16 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n6_lutinv ,open_n59314}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u544_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [2]}),
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [4:3]),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b16_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u559_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u545_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u560_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [16]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b21 (
.b({open_n59334,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u575_o }),
.c({open_n59335,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u544_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b16_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n59336,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n6_lutinv }),
.mi({open_n59347,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({open_n59348,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u576_o }),
.q({open_n59352,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [21]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000010000100001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b22 (
.a({open_n59353,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [17]}),
.b({open_n59354,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [2]}),
.c({open_n59355,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [17]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b16_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n59356,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [2]}),
.mi({open_n59367,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.f({open_n59368,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u877_o }),
.q({open_n59372,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [22]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*~A)"),
//.LUT1("(~D*C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000100),
.INIT_LUT1(16'b0000000000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b23|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b19 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b16_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/state [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n7_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n6_lutinv }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [19]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b25|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b27 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b24_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [27]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b28|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b26 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b24_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [26]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b29|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b24 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u578_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n6_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u546_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u544_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [4]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b24_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n3_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u559_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [24]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b30|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b31 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n6_lutinv }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b24_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n7_lutinv }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u544_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u530_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [30],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [31]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b4|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [10],open_n59470}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [13],open_n59471}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u546_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_op [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u560_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u952_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n95 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [7]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(~C*~B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b5 (
.a({open_n59489,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u279_o }),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [4:3]),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [5:4]),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [5]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6:5]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u277_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [6:5])); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*C*~B*A)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~1*D*C*~B*A)"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b8|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg10_b11 (
.a({open_n59503,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u545_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b10_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u560_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [2]}),
.e({open_n59504,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rx_cnt [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n87 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n32 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [11]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(283)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(317)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(317)
EG_PHY_LSLICE #(
//.LUTF0("(A*(D@(~0*C*B)))"),
//.LUTF1("(A*(C@(~D*B)))"),
//.LUTG0("(A*(D@(~1*C*B)))"),
//.LUTG1("(A*(C@(~D*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101010000000),
.INIT_LUTF1(16'b1010000000101000),
.INIT_LUTG0(16'b1010101000000000),
.INIT_LUTG1(16'b1010000000101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg11_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg11_b2 (
.a({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [3:2]),
.e({open_n59521,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble_cnt [2]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(317)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b25 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n95_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n91_lutinv }),
.b(\ethernet_i0/mac_test0/gmii_rxd_d0 [2:1]),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [25]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [25]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b11|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b31 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n95_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n91_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [3],\ethernet_i0/mac_test0/gmii_rxd_d0 [7]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [31]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [31]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b30 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n95_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n91_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [4],\ethernet_i0/mac_test0/gmii_rxd_d0 [6]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [30]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [30]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b13|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n95_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n95_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [5],\ethernet_i0/mac_test0/gmii_rxd_d0 [6]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [14]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b15|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n95_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n97_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [7],\ethernet_i0/mac_test0/gmii_rxd_d0 [1]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [1]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b16|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b40 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n87_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [0],\ethernet_i0/mac_test0/gmii_rxd_d0 [0]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [16],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [40]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [16],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [40]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b17|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b42 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n87_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [1],\ethernet_i0/mac_test0/gmii_rxd_d0 [2]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [42]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [42]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b18|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b29 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n91_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [2],\ethernet_i0/mac_test0/gmii_rxd_d0 [5]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [29]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [29]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b20|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b26 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n91_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [4],\ethernet_i0/mac_test0/gmii_rxd_d0 [2]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [26]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [26]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b21|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b33 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n89_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [5],\ethernet_i0/mac_test0/gmii_rxd_d0 [1]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [33]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [33]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b22|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n97_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [6],\ethernet_i0/mac_test0/gmii_rxd_d0 [2]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [2]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b23|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b27 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n91_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [7],\ethernet_i0/mac_test0/gmii_rxd_d0 [3]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [27]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [27]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b24|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b28 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n91_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n91_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [0],\ethernet_i0/mac_test0/gmii_rxd_d0 [4]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [24],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [28]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [24],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [28]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b32|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b47 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n89_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n87_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [0],\ethernet_i0/mac_test0/gmii_rxd_d0 [7]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [32],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [47]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [32],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [47]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b34|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b35 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n89_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n89_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [2],\ethernet_i0/mac_test0/gmii_rxd_d0 [3]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [34],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [35]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [34],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [35]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b36|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b45 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n89_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n87_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [4],\ethernet_i0/mac_test0/gmii_rxd_d0 [5]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [36],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [45]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [36],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [45]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b37|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n89_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n95_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [5],\ethernet_i0/mac_test0/gmii_rxd_d0 [1]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [37],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [37],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [9]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b38|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n89_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n97_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [6],\ethernet_i0/mac_test0/gmii_rxd_d0 [6]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [38],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [38],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [6]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b39|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b61 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n89_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n83_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [7],\ethernet_i0/mac_test0/gmii_rxd_d0 [5]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [39],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [61]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [39],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [61]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b41|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b48 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n85_lutinv }),
.b(\ethernet_i0/mac_test0/gmii_rxd_d0 [1:0]),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [41],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [48]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [41],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [48]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b43|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b52 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n85_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [3],\ethernet_i0/mac_test0/gmii_rxd_d0 [4]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [43],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [52]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [43],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [52]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b44|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b56 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n83_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [4],\ethernet_i0/mac_test0/gmii_rxd_d0 [0]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [44],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [56]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [44],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [56]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b46|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n97_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [6],\ethernet_i0/mac_test0/gmii_rxd_d0 [3]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [46],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [46],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [3]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b49|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b51 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n85_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n85_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [1],\ethernet_i0/mac_test0/gmii_rxd_d0 [3]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [49],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [51]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [49],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [51]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b50|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b53 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n85_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n85_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [2],\ethernet_i0/mac_test0/gmii_rxd_d0 [5]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [50],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [53]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [50],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [53]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b54|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n85_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n97_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [6],\ethernet_i0/mac_test0/gmii_rxd_d0 [0]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [54],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [54],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [0]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b55|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n85_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n97_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [7],\ethernet_i0/mac_test0/gmii_rxd_d0 [5]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [55],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [55],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [5]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b57|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b58 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n83_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n83_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [1],\ethernet_i0/mac_test0/gmii_rxd_d0 [2]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [57],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [58]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [57],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [58]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b59|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n83_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n97_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [3],\ethernet_i0/mac_test0/gmii_rxd_d0 [4]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [59],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [59],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [4]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_MSLICE #(
//.LUT0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUT1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000010000000),
.INIT_LUT1(16'b1101000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b62|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n83_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n97_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [6],\ethernet_i0/mac_test0/gmii_rxd_d0 [7]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [62],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [62],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [7]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b1101000010000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b1101000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b63|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg12_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n83_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n95_lutinv }),
.b({\ethernet_i0/mac_test0/gmii_rxd_d0 [7],\ethernet_i0/mac_test0/gmii_rxd_d0 [0]}),
.c({\ethernet_i0/mac_test0/gmii_rx_dv_d0 ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [63],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [63],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [8]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(331)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b4 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [4]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b15 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b10_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [15]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b11|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b14 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b10_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [14]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b13 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b10_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [13]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b16|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b23 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b16_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [16],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [23]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b17|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b21 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b16_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [21]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b18|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b19 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b16_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [19]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b3 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [3]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b2 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n60385,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [2]}),
.sr(RSTn_pad),
.q({open_n60391,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [2]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b20|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b22 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b16_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [22]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b24|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b31 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b24_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [24],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [31]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b25|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b26 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b24_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [26]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b27|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b30 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b24_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [27],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [30]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b28|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b29 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b24_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [29]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b32|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b36 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b32_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [32],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [36]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b33|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b39 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b32_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [33],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [39]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b34|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b38 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b32_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [34],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [38]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b35|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b37 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b32_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [35],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [37]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b40 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b40_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n60626,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [0]}),
.sr(RSTn_pad),
.q({open_n60643,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [40]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b41|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b42 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b40_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [41],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [42]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b44|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b43 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u747_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u747_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u730_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u732_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b40_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n20_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n20_lutinv }),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [4:3]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b10_sel_is_3_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b0_sel_is_3_o }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [44:43])); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b45|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b47 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [10]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b40_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [15]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u943_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u944_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [45],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [47]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b46 (
.b({open_n60709,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u849_o }),
.c({open_n60710,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u854_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b40_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n60711,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u843_o }),
.mi({open_n60722,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [6]}),
.sr(RSTn_pad),
.f({open_n60723,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n3_neg_lutinv }),
.q({open_n60727,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [46]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(0*~D*~C*~B*A)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(1*~D*~C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u997_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u941_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [41],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [6]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [42],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [7]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [43],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [8]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [44],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [9]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [6:5]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u998_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u942_o }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [6:5])); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b7 (
.a({open_n60743,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [16]}),
.b({open_n60744,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [17]}),
.c({open_n60745,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [18]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n60746,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [19]}),
.mi({open_n60750,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [7]}),
.sr(RSTn_pad),
.f({open_n60762,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u995_o }),
.q({open_n60766,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [7]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*~A)"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(~D*C*~B*~A)"),
//.LUTG1("(~D*~C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000010000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0000000000010000),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b8|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg13_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [30]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [31]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [32]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b10_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [33]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u994_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u990_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [9]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(358)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*~C*~B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(1*~D*~C*~B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u992_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u999_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u993_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [45]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u994_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [46]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u995_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [47]}),
.e({open_n60784,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u996_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1000_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [4]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(D*~C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000001000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [34],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [38]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [35],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [39]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [36],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [4]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b10_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [37],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [40]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u989_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u997_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [14]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*~A)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100000000000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b11|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b12 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [27]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [24],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [28]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [29]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b10_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [26],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u988_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u987_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [12]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*A)"),
//.LUTF1("(D*C*~B*~A)"),
//.LUTG0("(D*~C*~B*A)"),
//.LUTG1("(D*C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001000000000),
.INIT_LUTF1(16'b0001000000000000),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b13|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [12]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [14]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b10_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [9],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [15]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u999_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u992_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [8]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~A)"),
//.LUTF1("(~D*~C*B*~A)"),
//.LUTG0("(~D*~C*B*~A)"),
//.LUTG1("(~D*~C*B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b0000000000000100),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b15|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [10]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [11]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [12]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b10_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac_rx_destination_mac_addr [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [13]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u993_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u825_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [9]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u730_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u736_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u742_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u742_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b40_sel_is_3_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux21_b16_sel_is_3_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [2]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b3 (
.a({open_n60881,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u987_o }),
.b({open_n60882,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u988_o }),
.c({open_n60883,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u989_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n60884,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u990_o }),
.mi({open_n60895,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [3]}),
.sr(RSTn_pad),
.f({open_n60896,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u991_o }),
.q({open_n60900,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [3]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B*A))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(D*~(C*B*A))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111100000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0111111100000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b7|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg15_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u843_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u849_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u854_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n20_lutinv }),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [7:6]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u822_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b6/B6 }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [7:6])); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(398)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(76)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("~(~D*~(~C*B))"),
//.LUTG0("(C*~D)"),
//.LUTG1("~(~D*~(~C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1111111100001100),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1111111100001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg16_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg0_b0 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n19_lutinv ,open_n60920}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n1_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b1/B8 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n31 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [0]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(144)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(76)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg16_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rx_dv_d0_reg (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n3_neg_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rx_dv_d0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux23_b0_sel_is_3_o ,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.mi({open_n60958,\ethernet_i0/mac_test0/gmii_rx_dv_d0 }),
.sr(RSTn_pad),
.f({open_n60959,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u830_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rx_dv_d0 })); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(76)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*~A)"),
//.LUT1("~(~C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000001000000),
.INIT_LUT1(16'b1111111111111100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg16_b4|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg3_b3 (
.a({open_n60963,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n12_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n33 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n34 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n11_neg_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b4/B4 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_checksum_error }),
.mi({open_n60975,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [3]}),
.sr(RSTn_pad),
.f({open_n60976,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b4/B4 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [3]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(~D*C*B*~A)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(~D*C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000000001000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0000000001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg1_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg1_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [31],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [2]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [32],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [20]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [46],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [21]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [47],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [22]}),
.mi({\ethernet_i0/mac_test0/gmii_rxd_d0 [0],\ethernet_i0/mac_test0/gmii_rxd_d0 [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u848_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u847_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [4]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(~D*~C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg1_b2|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg1_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [62]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [60],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [63]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [61],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/preamble [9:8]),
.mi({\ethernet_i0/mac_test0/gmii_rxd_d0 [2],\ethernet_i0/mac_test0/gmii_rxd_d0 [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u842_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u841_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [3]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg1_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg1_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u845_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u850_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u846_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u851_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u847_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u852_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u848_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u853_o }),
.mi({\ethernet_i0/mac_test0/gmii_rxd_d0 [5],\ethernet_i0/mac_test0/gmii_rxd_d0 [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u849_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u854_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [7]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~B*A)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~1*~D*~C*~B*A)"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg2_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg2_b0 (
.a({open_n61026,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u825_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [14]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u822_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [8]}),
.e({open_n61028,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [9]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [1:0]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u823_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u826_o }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [1:0])); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg2_b2|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg2_b3 (
.a({open_n61044,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [2]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u943_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u944_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u942_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u941_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [3]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*B*A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~1*~D*~C*B*A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg2_b4|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg2_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u710_o }),
.b(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [5:4]),
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [6:5]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [7:6]),
.e({open_n61064,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [7]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u738_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [5]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(76)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~B*~A*~(0*D))"),
//.LUTF1("(B*~(C*A*~(0@D)))"),
//.LUTG0("~(~C*~B*~A*~(1*D))"),
//.LUTG1("(B*~(C*A*~(1@D)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111011111110),
.INIT_LUTF1(16'b1100110001001100),
.INIT_LUTG0(16'b1111111111111110),
.INIT_LUTG1(16'b0100110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg2_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg16_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u823_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b6/B6 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n21_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b6/B4 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u826_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b6/B5 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/frame_type [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_error }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [6],open_n61082}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b6/B5 ,open_n61094}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [6]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(76)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg2_b7 (
.a({open_n61098,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u991_o }),
.b({open_n61099,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u996_o }),
.c({open_n61100,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u998_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n61102,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1000_o }),
.mi({open_n61113,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d0 [7]}),
.sr(RSTn_pad),
.f({open_n61114,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u1001_o }),
.q({open_n61118,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [7]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~D*~(C*A)))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(B*~(~D*~(C*A)))"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110010000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1100110010000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg3_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg3_b7 (
.a({open_n61119,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n12_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n11_neg_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_checksum_error ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n11_neg_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip_checksum_error }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b5/B4 ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/sel0_b6/B4 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [7]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(99)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~0*~(C*B*A)))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(D*~(~1*~(C*B*A)))"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg3_b2|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_reply_req_reg (
.a({open_n61138,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u963_o }),
.b({open_n61139,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u965_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp_rx_req ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u966_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/arp_reply_ack ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/u12_sel_is_0_o }),
.e({open_n61141,\ethernet_i0/mac_test0/mac_top0/arp_reply_req }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [2],open_n61143}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/u12_sel_is_0_o ,open_n61155}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2],\ethernet_i0/mac_test0/mac_top0/arp_reply_req })); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(99)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*C*~B*A)"),
//.LUTF1("(~D*C*~B*~A)"),
//.LUTG0("(~1*~D*C*~B*A)"),
//.LUTG1("(~D*C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0000000000010000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg3_b4|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg3_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u710_o }),
.b(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [5:4]),
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [6:5]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [7:6]),
.e({open_n61160,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/rec_state [7]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_data_d1 [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u862_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [4],\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(188)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(170)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~C*~D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111111111110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_tx_ready_reg (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.sr(RSTn_pad),
.f({open_n61197,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_ready })); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(170)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b9 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [10:9]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [10:9])); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b11 (
.c({open_n61231,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n61233,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv }),
.sr(RSTn_pad),
.q({open_n61255,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [11]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b13 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [13]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b14|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [15]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [2]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [4]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b5|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [5],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [6]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b7|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg4_b8 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n36 [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n22_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/timeout [8]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(208)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(218)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(218)
EG_PHY_MSLICE #(
//.LUT0("(~D*(C@B))"),
//.LUT1("(~D*(C@B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111100),
.INIT_LUT1(16'b0000000000111100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg5_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg5_b2 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u736_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u924_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u924_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [2]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(218)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(218)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(218)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(0@(D*C*B)))"),
//.LUTF1("(~A*(D@(C*B)))"),
//.LUTG0("(~A*(1@(D*C*B)))"),
//.LUTG1("(~A*(D@(C*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100000000000000),
.INIT_LUTF1(16'b0001010101000000),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0001010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg5_b3|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg5_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u924_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u924_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u736_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u736_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [3]}),
.e({open_n61417,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [4]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(218)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b3 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [3]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b15 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [15]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [15]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(~(D@B)*~(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b11|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [14]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [5]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [14]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u874_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u871_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [4]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(~(D@B)*~(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [9]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [9]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [14]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u873_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u872_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [14]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_LSLICE #(
//.LUTF0("(C@B@D)"),
//.LUTF1("(C@B@D)"),
//.LUTG0("(C@B@D)"),
//.LUTG1("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001100111100),
.INIT_LUTF1(16'b1100001100111100),
.INIT_LUTG0(16'b1100001100111100),
.INIT_LUTG1(16'b1100001100111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b17|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b16 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [27],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [29]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u509_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u589_o }),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [17:16]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u893_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u599_o }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [17:16])); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_LSLICE #(
//.LUTF0("(C@B@D)"),
//.LUTG0("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001100111100),
.INIT_LUTG0(16'b1100001100111100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b18 (
.b({open_n61542,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [26]}),
.c({open_n61543,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [5]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n61544,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u589_o }),
.mi({open_n61548,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [18]}),
.sr(RSTn_pad),
.f({open_n61560,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u591_o }),
.q({open_n61564,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [18]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
//.LUT1("(~(D@B)*~(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000010000100001),
.INIT_LUT1(16'b1000010000100001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [6]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [7]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [9]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u883_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u882_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [9]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
//.LUT1("(~(D@B)*~(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000010000100001),
.INIT_LUT1(16'b1000010000100001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b20|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b26 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [19],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [23]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [24]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [19],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [23]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [24]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [26]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u868_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u866_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [26]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b22|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b21 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u866_o ,open_n61591}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u867_o ,open_n61592}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u868_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u736_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u869_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u729_o }),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [22:21]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u870_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b0_sel_is_3_o }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [22:21])); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b23|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b19 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u876_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [20]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u877_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [21]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u878_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [20]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u879_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [21]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [19]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u880_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u879_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [19]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
//.LUT1("(~(D@B)*~(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000010000100001),
.INIT_LUT1(16'b1000010000100001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b24|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b27 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [26]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [27]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [26]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [27]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [24],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [27]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u869_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u876_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [24],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [27]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b28|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b25 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [0]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [25]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u730_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u732_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [25]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b29|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b30 (
.a({open_n61657,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u732_o }),
.b({open_n61658,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [3]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [4]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [30]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u736_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n54_lutinv }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [30]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b2|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b7 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [7]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u881_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [16]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u882_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u883_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [16]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u884_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [3]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [6:5]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u885_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u884_o }),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [6:5])); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000010000100001),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b8|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg7_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u871_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [10]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u872_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [11]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u873_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [10]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u874_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [11]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [13]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u875_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u881_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [13]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(248)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b0 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n61748,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [0]}),
.sr(RSTn_pad),
.q({open_n61754,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [0]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b15 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [15]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [15]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b11|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b3 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [3]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b9 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [9]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_MSLICE #(
//.LUT0("(C@B@D)"),
//.LUT1("~(D@C@B@A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001100111100),
.INIT_LUT1(16'b1001011001101001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b13|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b19 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u515_o ,open_n61839}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u507_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [29]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u509_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [19]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u890_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u597_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [19]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b14|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b4 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [14],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [4]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(301)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*~A)"),
//.LUT1("(~(D@B)*~(C@A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100000000000000),
.INIT_LUT1(16'b1000010000100001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b17|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_error_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [30],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u886_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [31],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [30],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u776_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [31],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u732_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [17],open_n61889}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u878_o ,open_n61890}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_error })); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(301)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_LSLICE #(
//.LUTF0("(D@C@B@A)"),
//.LUTF1("(C@B@D)"),
//.LUTG0("(D@C@B@A)"),
//.LUTG1("(C@B@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0110100110010110),
.INIT_LUTF1(16'b1100001100111100),
.INIT_LUTG0(16'b0110100110010110),
.INIT_LUTG1(16'b1100001100111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b18|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b22 (
.a({open_n61894,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [26]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [27],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [30]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u517_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [22]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u518_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u593_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [22]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b1|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b6 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [6]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(~(D@B)*~(C@A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b20|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b16 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [25],open_n61937}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_rec [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u729_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [16]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u867_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b10_sel_is_3_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [16]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010101000001000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b21|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b19 (
.a({open_n61956,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({open_n61957,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n55_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u730_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [20]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u776_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [19]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [21],open_n61969}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n57_lutinv ,open_n61970}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [19]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*B*~A)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~1*~D*~C*B*~A)"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b25|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b28 (
.a({open_n61974,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [3]}),
.e({open_n61976,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [4]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [28]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u776_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n55_lutinv }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [28]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~C*~B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b26|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b24 (
.a({open_n61992,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({open_n61993,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u730_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u729_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [4]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [26],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [24]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b24_sel_is_3_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u729_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [26],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [24]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b2|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b7 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [7]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_MSLICE #(
//.LUT0("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b30 (
.c({open_n62044,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n62046,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [28]}),
.mi({open_n62057,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [30]}),
.sr(RSTn_pad),
.f({open_n62058,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u509_o }),
.q({open_n62062,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [30]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_LSLICE #(
//.LUTF0("(C@D)"),
//.LUTF1("(C@D)"),
//.LUTG0("(C@D)"),
//.LUTG1("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111110000),
.INIT_LUTF1(16'b0000111111110000),
.INIT_LUTG0(16'b0000111111110000),
.INIT_LUTG1(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b31|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b29 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [31],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [25]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [31],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [29]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u517_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u589_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [31],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [29]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b5 (
.a({open_n62085,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u870_o }),
.b({open_n62086,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u875_o }),
.c({open_n62087,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u880_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n62089,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u885_o }),
.mi({open_n62093,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [5]}),
.sr(RSTn_pad),
.f({open_n62105,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u886_o }),
.q({open_n62109,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [5]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUT1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010101000001000),
.INIT_LUT1(16'b0010101000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b0|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n57_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n57_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [0],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [4]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUT1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010101000001000),
.INIT_LUT1(16'b0010101000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b10|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n56_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n57_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [3]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTF1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101000001000),
.INIT_LUTF1(16'b0010101000001000),
.INIT_LUTG0(16'b0010101000001000),
.INIT_LUTG1(16'b0010101000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b11|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n56_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n56_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [14]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTF1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101000001000),
.INIT_LUTF1(16'b0010101000001000),
.INIT_LUTG0(16'b0010101000001000),
.INIT_LUTG1(16'b0010101000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b12|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n56_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n57_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [11],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [12],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [5]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTF1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101000001000),
.INIT_LUTF1(16'b0010101000001000),
.INIT_LUTG0(16'b0010101000001000),
.INIT_LUTG1(16'b0010101000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b13|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n56_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n57_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [10],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [13],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [7]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUT1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010101000001000),
.INIT_LUT1(16'b0010101000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b15|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n56_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n56_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [8],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [8]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUT1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010101000001000),
.INIT_LUT1(16'b0010101000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b16|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n55_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n57_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [16],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [16],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [2]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTF1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101000001000),
.INIT_LUTF1(16'b0010101000001000),
.INIT_LUTG0(16'b0010101000001000),
.INIT_LUTG1(16'b0010101000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b17|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b20 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n55_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n55_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [19]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [20]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [17],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [20]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTF1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101000001000),
.INIT_LUTF1(16'b0010101000001000),
.INIT_LUTG0(16'b0010101000001000),
.INIT_LUTG1(16'b0010101000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b18|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b24 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n55_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n54_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [31]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [24]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [24]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG0("(C*D)"),
//.LUTG1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0010101000001000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0010101000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b21|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b23 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,open_n62292}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n55_lutinv ,open_n62293}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [18],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n54_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.mi({open_n62298,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [23]}),
.sr(RSTn_pad),
.f({open_n62310,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mux10_b16_sel_is_3_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [21],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [23]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTF1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101000001000),
.INIT_LUTF1(16'b0010101000001000),
.INIT_LUTG0(16'b0010101000001000),
.INIT_LUTG1(16'b0010101000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b22|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b23 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n55_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n55_lutinv }),
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [17:16]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [23]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [23]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTF1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101000001000),
.INIT_LUTF1(16'b0010101000001000),
.INIT_LUTG0(16'b0010101000001000),
.INIT_LUTG1(16'b0010101000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b25|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b26 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n54_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n54_lutinv }),
.c(\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [30:29]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [26]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [25],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [26]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUT1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010101000001000),
.INIT_LUT1(16'b0010101000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b27|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b30 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n54_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n54_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [25]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [27],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [30]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [27],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [30]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUT1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010101000001000),
.INIT_LUT1(16'b0010101000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b28|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b31 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n54_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n54_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [27],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [24]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [31]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [28],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [31]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0010101000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b29|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b27 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u736_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n54_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [26],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/mac_rx_cnt [4]}),
.mi({open_n62405,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [27]}),
.sr(RSTn_pad),
.f({open_n62406,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n56_lutinv }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [29],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [27]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUT1("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010101000001000),
.INIT_LUT1(16'b0010101000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n57_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n56_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [1],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [9]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(C*~(~A*~(D*~B)))"),
//.LUTG0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(C*~(~A*~(D*~B)))"),
.INIT_LUTF0(16'b0011000001010000),
.INIT_LUTF1(16'b1011000010100000),
.INIT_LUTG0(16'b0011000001010000),
.INIT_LUTG1(16'b1011000010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1001|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u980 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u999_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u978_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1000_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u979_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u664_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u664_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1001_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u980_o }));
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(0*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D))"),
//.LUTF1("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG0("(1*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D))"),
//.LUTG1("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0101010100011011),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1003|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b28 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1002_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [13]}),
.b({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [45],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [5]}),
.c({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [37],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.mi({open_n62453,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [28]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1003_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1005_o }),
.q({open_n62468,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [28]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~B*~(~0*~C*~A)))"),
//.LUTG0("(D*~(~B*~(~1*~C*~A)))"),
.INIT_LUTF0(16'b1100110100000000),
.INIT_LUTG0(16'b1100110000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1006 (
.a({open_n62469,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1003_o }),
.b({open_n62470,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1004_o }),
.c({open_n62471,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1005_o }),
.d({open_n62474,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u661_o }),
.e({open_n62475,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3]}),
.f({open_n62491,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1006_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(D*~C*B*A)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(D*~C*B*A)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b0000100000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1008|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1028 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u998_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_type [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.e({open_n62499,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1008_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1028_o }));
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b0000000000110000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1009|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg3_b4 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2],open_n62522}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3],open_n62523}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1009_o ,open_n62537}),
.q({open_n62541,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_type [4]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
EG_PHY_MSLICE #(
//.LUT0("(A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1011100110101000),
.INIT_LUT1(16'b1010101011100100),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1011|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1056 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [0]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [8]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1011_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1056_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*~(B)*C*D))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*~(B)*C*D))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.INIT_LUTF0(16'b0010011101010101),
.INIT_LUTF1(16'b0011010100001111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0011010100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1012|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1057 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1056_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1011_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [8]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.e({open_n62564,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1012_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1057_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~A*~(D*~B)))"),
//.LUTF1("(C*~(A*~(D*~B)))"),
//.LUTG0("(C*~(~A*~(D*~B)))"),
//.LUTG1("(C*~(A*~(D*~B)))"),
.INIT_LUTF0(16'b1011000010100000),
.INIT_LUTF1(16'b0111000001010000),
.INIT_LUTG0(16'b1011000010100000),
.INIT_LUTG1(16'b0111000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1013|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1041 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1010_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1038_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1012_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1040_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u664_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u664_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u973_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u973_o }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1013_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1041_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(A*~(C*~B)))"),
//.LUT1("(D*~(A*~(C*~B)))"),
.INIT_LUT0(16'b0111010100000000),
.INIT_LUT1(16'b0111010100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1014|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u984 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u981_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u981_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [6]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1014_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u984_o }));
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(D*~(~B*~(~0*~C*~A)))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(D*~(~B*~(~1*~C*~A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111010111111111),
.INIT_LUTF1(16'b1100110100000000),
.INIT_LUTG0(16'b1111110000110011),
.INIT_LUTG1(16'b1100110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1019|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b45 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1016_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b3_var/B0_2 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1017_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1018_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u661_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3]}),
.mi({open_n62630,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [45]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1019_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1024_o }),
.q({open_n62645,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [45]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(A*~(0*~D*C)))"),
//.LUTF1("(C*~(B*~(D*~A)))"),
//.LUTG0("(B*~(A*~(1*~D*C)))"),
//.LUTG1("(C*~(B*~(D*~A)))"),
.INIT_LUTF0(16'b0100010001000100),
.INIT_LUTF1(16'b0111000000110000),
.INIT_LUTG0(16'b0100010011000100),
.INIT_LUTG1(16'b0111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1025|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u976 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1022_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u974_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1024_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u661_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u661_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u975_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u973_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.e({open_n62648,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1025_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u976_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.INIT_LUT0(16'b1010101011100100),
.INIT_LUT1(16'b0011010100001111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1027|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1026 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [11]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1026_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [3]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1027_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1026_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~(0*~B)*~(D*~A)))"),
//.LUT1("(C*~(~(1*~B)*~(D*~A)))"),
.INIT_LUT0(16'b0101000000000000),
.INIT_LUT1(16'b0111000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1029 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1027_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1027_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1028_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1028_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u664_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u664_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u973_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u973_o }),
.mi({open_n62701,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3]}),
.fx({open_n62706,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1029_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~A*~(0*D*~C))"),
//.LUT1("(~B*~A*~(1*D*~C))"),
.INIT_LUT0(16'b0001000100010001),
.INIT_LUT1(16'b0001000000010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1030 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1025_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1025_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1029_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1029_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u981_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u981_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5]}),
.mi({open_n62721,\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [3]}),
.fx({open_n62726,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1030_o }));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*~(~B*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1100000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1036|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b15 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u663_o ,open_n62731}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u661_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1035_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1036_o ,open_n62745}),
.q({open_n62749,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [15]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
EG_PHY_LSLICE #(
//.LUTF0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTF1("(D*~(A*~(C*~B)))"),
//.LUTG0("(C*(D*~(B)*~(A)+D*B*~(A)+~(D)*B*A+D*B*A))"),
//.LUTG1("(D*~(A*~(C*~B)))"),
.INIT_LUTF0(16'b1101000010000000),
.INIT_LUTF1(16'b0111010100000000),
.INIT_LUTG0(16'b1101000010000000),
.INIT_LUTG1(16'b0111010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1037|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1066 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u981_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u981_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1037_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1066_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(B*(C*~(D)*~(0)+C*D*~(0)+~(C)*D*0+C*D*0)))"),
//.LUTF1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG0("(~A*~(B*(C*~(D)*~(1)+C*D*~(1)+~(C)*D*1+C*D*1)))"),
//.LUTG1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.INIT_LUTF0(16'b0001010100010101),
.INIT_LUTF1(16'b1010000010001000),
.INIT_LUTG0(16'b0001000101010101),
.INIT_LUTG1(16'b1010000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1038|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1010 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1009_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1008_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1009_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [12]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [4]}),
.e({open_n62776,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1038_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1010_o }));
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("~(~C*~D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b1010101011100100),
.INIT_LUTG0(16'b1111111111110000),
.INIT_LUTG1(16'b1010101011100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1039|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],open_n62797}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [10],open_n62798}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1039_o ,open_n62816}),
.q({open_n62820,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [2]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b0011010100001111),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b0011010100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1040|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b11 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1039_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [11]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1040_o ,open_n62838}),
.q({open_n62842,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [11]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0111110001111111),
.INIT_LUTF1(16'b1110110011100000),
.INIT_LUTG0(16'b0111000001110011),
.INIT_LUTG1(16'b1110111111100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1048|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u977 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [15]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [7]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1048_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u977_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTF1("(C*A*~(~D*B))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG1("(C*A*~(~D*B))"),
.INIT_LUTF0(16'b0011010100001111),
.INIT_LUTF1(16'b1010000000100000),
.INIT_LUTG0(16'b0011010100001111),
.INIT_LUTG1(16'b1010000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1049|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u991 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1048_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [14]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u998_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [6]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u973_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u990_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1049_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u991_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(A*(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(A*(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1000100010100000),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b1000100010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1050|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1000 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1009_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [13]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [5]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.e({open_n62891,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1050_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1000_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(D*~(~C*~B*~A))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111111000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1051|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u664 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1049_o ,open_n62912}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1050_o ,open_n62913}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u660_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u664_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [4]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1051_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u664_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(A*~(C*~B)))"),
//.LUT1("(B*~(~C*~D))"),
.INIT_LUT0(16'b0111010100000000),
.INIT_LUT1(16'b1100110011000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1053|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u982 (
.a({open_n62934,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u981_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [7]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1053_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u982_o }));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*~(~C*~D))"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*~(~C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100110011000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100110011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1055|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b5 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv ,open_n62957}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1055_o ,open_n62975}),
.q({open_n62979,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [5]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(305)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTF1("(A*(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUTG0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG1("(A*(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000000111111),
.INIT_LUTF1(16'b1000100010100000),
.INIT_LUTG0(16'b0011000000111111),
.INIT_LUTG1(16'b1000100010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1058|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg4_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1009_o ,open_n62980}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [6]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [14]}),
.mi({open_n62985,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1058_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u992_o }),
.q({open_n63000,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 [4]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(305)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*~(B*~D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*~(B*~D))"),
.INIT_LUTF0(16'b1111110011111111),
.INIT_LUTF1(16'b0000111100000011),
.INIT_LUTG0(16'b1100111111001010),
.INIT_LUTG1(16'b0000111100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1059|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u993 (
.a({open_n63001,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u992_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u998_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.e({open_n63004,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1059_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u993_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~B*~(C*~A)))"),
//.LUTG0("(D*~(~B*~(C*~A)))"),
.INIT_LUTF0(16'b1101110000000000),
.INIT_LUTG0(16'b1101110000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1060 (
.a({open_n63025,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1057_o }),
.b({open_n63026,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1058_o }),
.c({open_n63027,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1059_o }),
.d({open_n63030,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u664_o }),
.f({open_n63048,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1060_o }));
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(0*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D))"),
//.LUTF1("(0*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D))"),
//.LUTG0("(1*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D))"),
//.LUTG1("(1*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1063|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b30 (
.a({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [8],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [10]}),
.b({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [0],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n43 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.mi({open_n63055,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1063_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1034_o }),
.q({open_n63070,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [30]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(53)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(~D*~(C*~(~B*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0000000000101111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1068|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg4_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u377_o ,open_n63071}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u857_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u265_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1070_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1068_o ,open_n63085}),
.q({open_n63089,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [0]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(53)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(131)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~C*~A*(D@B))"),
//.LUTF1("(B*~(~0*~(C*~(A)*~(D)+C*A*~(D)+~(C)*A*D+C*A*D)))"),
//.LUTG0("(~1*~C*~A*(D@B))"),
//.LUTG1("(B*~(~1*~(C*~(A)*~(D)+C*A*~(D)+~(C)*A*D+C*A*D)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100000100),
.INIT_LUTF1(16'b1000100011000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1070|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/ip_tx_req_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u857_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1068_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1069_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [3]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1070_o ,open_n63105}),
.q({open_n63109,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_req })); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(131)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b1010000011000000),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b1010000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1079|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u332 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u865_o ,open_n63110}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1078_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [3]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u268_o }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1079_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u332_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u254|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u257 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [3]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u254_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u257_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u255|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u304 (
.a({open_n63159,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [4]}),
.b({open_n63160,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [5]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [6]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [7]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u255_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u304_o }));
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~A*~(~0*D*B))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("~(~C*~A*~(~1*D*B))"),
//.LUTG1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111011111010),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1111101011111010),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u256|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg6_b0 (
.a({open_n63185,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel0_b0/or_B0_B1_o_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u255_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel0_b0/B8 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u254_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n6_lutinv }),
.e({open_n63187,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_data_req }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u256_o ,open_n63202}),
.q({open_n63206,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [0]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("~(C@D)"),
//.LUTF1("(D@C@B@A)"),
//.LUTG0("~(C@D)"),
//.LUTG1("(D@C@B@A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000001111),
.INIT_LUTF1(16'b0110100110010110),
.INIT_LUTG0(16'b1111000000001111),
.INIT_LUTG1(16'b0110100110010110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u259|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b29 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [26],open_n63207}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [27],open_n63208}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u313_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u262_o }),
.mi({open_n63212,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [29]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u259_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u849_o }),
.q({open_n63227,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [29]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(C@D)"),
//.LUTG0("(~D)"),
//.LUTG1("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000111111110000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u261|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crcen_reg (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [0],open_n63232}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [31],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n20 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u261_o ,open_n63250}),
.q({open_n63254,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen })); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(53)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
//.LUT1("(C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b0000000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u265|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg4_b1 (
.a({open_n63255,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u320_o }),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [3:2]),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [4:3]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u265_o ,open_n63269}),
.q({open_n63273,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [1]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(53)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(48)
EG_PHY_MSLICE #(
//.LUT0("(C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010000011000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u267|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg2_b2 (
.a({open_n63274,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u865_o }),
.b({open_n63275,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/sel0_b2/B1_0 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u267_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [3:2]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u267_o ,open_n63289}),
.q({open_n63293,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [2]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(48)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u268|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u300 (
.b({open_n63296,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u298_o }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u268_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u300_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(A*~(B)*~(D)*~(0)+A*B*~(D)*~(0)+~(A)*B*D*~(0)+A*B*D*~(0)+~(A)*B*~(D)*0+A*B*~(D)*0))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(C*(A*~(B)*~(D)*~(1)+A*B*~(D)*~(1)+~(A)*B*D*~(1)+A*B*D*~(1)+~(A)*B*~(D)*1+A*B*~(D)*1))"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b1100000010100000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000011000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u270|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u959 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u302_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u304_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u257_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [0]}),
.e({open_n63323,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [1]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u270_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u959_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*(D@C))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000001000100000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u271|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u482 (
.a({open_n63344,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u256_o }),
.b({open_n63345,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [3]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [6]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u271_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv }));
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110110111001),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1111110110111001),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u273|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg2_b40 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [4]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [5]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_tx_ready }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n39 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_data_req }),
.mi({open_n63369,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u273_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u965_o }),
.q({open_n63384,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [40]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(147)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(~0*D)*~(C*B))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("~(~A*~(~1*D)*~(C*B))"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111101010),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1110101011101010),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u274|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg18_b0 (
.a({open_n63385,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u966_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u968_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u273_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.e({open_n63387,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_req }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u274_o ,open_n63402}),
.q({open_n63406,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [0]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
EG_PHY_LSLICE #(
//.LUTF0("~(~(~D*B)*~(C*A))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("~(~(~D*B)*~(C*A))"),
//.LUTG1("(C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000011101100),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1010000011101100),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u276|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg18_b3 (
.a({open_n63407,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n22 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n3_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u274_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_finish }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,open_n63425}),
.q({open_n63429,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [3]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTG0("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u298 (
.c({open_n63434,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [3]}),
.d({open_n63437,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [2]}),
.f({open_n63455,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u298_o }));
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
EG_PHY_LSLICE #(
//.LUTF0("~(~(C*B)*~(~D*A))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("~(~(C*B)*~(~D*A))"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011101010),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b1100000011101010),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg6_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u304_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n22_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u254_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n21_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [2],\ethernet_i0/mac_test0/arp_request_req }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_arp_tx_ack }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,open_n63478}),
.q({open_n63482,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [1]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_MSLICE #(
//.LUT0("(C@D)"),
//.LUT1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111110000),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u311|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b31 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u309_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u771_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u261_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u770_o }),
.mi({open_n63497,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [31]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u311_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u772_o }),
.q({open_n63501,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [31]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
EG_PHY_LSLICE #(
//.LUTF0("(C@B@D)"),
//.LUTF1("(C@B@D)"),
//.LUTG0("(C@B@D)"),
//.LUTG1("(C@B@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001100111100),
.INIT_LUTF1(16'b1100001100111100),
.INIT_LUTG0(16'b1100001100111100),
.INIT_LUTG1(16'b1100001100111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u317|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg3_b1 (
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [28:27]),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u250_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u261_o }),
.mi({open_n63508,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u317_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u262_o }),
.q({open_n63523,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [1]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
.INIT_LUT0(16'b0000000000000001),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u326 (
.a({open_n63524,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [0]}),
.b({open_n63525,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [1]}),
.c({open_n63526,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [2]}),
.d({open_n63529,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [3]}),
.f({open_n63543,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u326_o }));
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(239)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(~C*D))"),
//.LUTF1("~(D*~((~C*B))*~(A)+D*(~C*B)*~(A)+~(D)*(~C*B)*A+D*(~C*B)*A)"),
//.LUTG0("~(B*~(~C*D))"),
//.LUTG1("~(D*~((~C*B))*~(A)+D*(~C*B)*~(A)+~(D)*(~C*B)*A+D*(~C*B)*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111100110011),
.INIT_LUTF1(16'b1010001011110111),
.INIT_LUTG0(16'b0011111100110011),
.INIT_LUTG1(16'b1010001011110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u339|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg6_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv ,open_n63549}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n7_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u343_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u345_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n24 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u339_o ,open_n63567}),
.q({open_n63571,\ethernet_i0/mac_test0/gmii_txd_tmp [6]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(239)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(239)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(0*~C)*~(~D*B))"),
//.LUTF1("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("~(~A*~(1*~C)*~(~D*B))"),
//.LUTG1("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101011101110),
.INIT_LUTF1(16'b1100110001110100),
.INIT_LUTG0(16'b1010111111101111),
.INIT_LUTG1(16'b1100110001110100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u340|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg6_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [24],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u360_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u350_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [5]}),
.e({open_n63573,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u340_o ,open_n63588}),
.q({open_n63592,\ethernet_i0/mac_test0/gmii_txd_tmp [2]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(239)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(214)
EG_PHY_LSLICE #(
//.LUTF0("((D@C)*~(~B*~A))"),
//.LUTF1("~(D*~((~C*B))*~(A)+D*(~C*B)*~(A)+~(D)*(~C*B)*A+D*(~C*B)*A)"),
//.LUTG0("((D@C)*~(~B*~A))"),
//.LUTG1("~(D*~((~C*B))*~(A)+D*(~C*B)*~(A)+~(D)*(~C*B)*A+D*(~C*B)*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111011100000),
.INIT_LUTF1(16'b1010001011110111),
.INIT_LUTG0(16'b0000111011100000),
.INIT_LUTG1(16'b1010001011110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u343|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg4_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n7_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u343_o ,open_n63610}),
.q({open_n63614,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(214)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(55)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(~D*C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~A*~(~D*C*B))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101011101010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1010101011101010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u350|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg7_b5 (
.a({open_n63615,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u350_o }),
.b({open_n63616,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n4_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n7_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_end_dly }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u350_o ,open_n63634}),
.q({open_n63638,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [5]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(55)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110001110100),
.INIT_LUTF1(16'b0011100000111011),
.INIT_LUTG0(16'b1100110001110100),
.INIT_LUTG1(16'b0011100000111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u359|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg5_b21 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [25]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n89 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1]}),
.mi({open_n63642,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u359_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u344_o }),
.q({open_n63657,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [21]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(197)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(53)
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~D)"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000011),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u377|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg4_b2 (
.b({open_n63660,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u859_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u377_o ,open_n63674}),
.q({open_n63678,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [2]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(53)
EG_PHY_LSLICE #(
//.LUTF0("~((D*~C*B)*~(A)*~(0)+(D*~C*B)*A*~(0)+~((D*~C*B))*A*0+(D*~C*B)*A*0)"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("~((D*~C*B)*~(A)*~(1)+(D*~C*B)*A*~(1)+~((D*~C*B))*A*1+(D*~C*B)*A*1)"),
//.LUTG1("(D*~C*~B*A)"),
.INIT_LUTF0(16'b1111001111111111),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0101010101010101),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u378|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u859 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u377_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u857_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_ack ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_ack }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [0]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [1]}),
.e({open_n63681,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u378_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u859_o }));
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(224)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(~D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u381|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_reply_ack_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u304_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u270_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u257_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u271_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n21_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.q({open_n63718,\ethernet_i0/mac_test0/mac_top0/arp_reply_ack })); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*~C*B*A)"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("(1*~D*~C*B*A)"),
//.LUTG1("(D*~C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u383|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b53 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u254_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u270_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_end ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u255_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [2],\ethernet_i0/mac_test0/mac_top0/icmp0/mac_send_end_d0 }),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [6]}),
.e({open_n63719,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [7]}),
.mi({open_n63721,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u383_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel0_b7/B1 }),
.q({open_n63736,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [53]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u502|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u786 (
.a({open_n63737,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u327_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [4]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [5]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u273_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_tx_ready }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u502_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u786_o }));
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*B)*~(~C*A))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("~(~(D*B)*~(~C*A))"),
//.LUTG1("(C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100111000001010),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1100111000001010),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u632|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg18_b2 (
.a({open_n63758,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n22 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n21_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n3_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u502_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_ip_tx_ack }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n21_lutinv ,open_n63776}),
.q({open_n63780,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [2]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u656|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u657 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [12]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [14]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [15]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_6_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u657_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u658|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u981 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_6_sel_is_2_o ,open_n63805}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u657_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [4]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u973_o }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u981_o }));
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u659|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg3_b5 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.mi({open_n63841,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u659_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u975_o }),
.q({open_n63845,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [5]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(352)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*~D*~C*~B))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(1*~D*~C*~B))"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1010101010101000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u660|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg17_b0 (
.a({open_n63846,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1055_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1060_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1065_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u659_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1066_o }),
.e({open_n63848,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u660_o ,open_n63863}),
.q({open_n63867,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [0]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(352)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u661|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b10 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [10]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u661_o ,open_n63889}),
.q({open_n63893,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [10]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(352)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~B*~A))"),
//.LUTF1("(D*C*B*~A)"),
//.LUTG0("(D*~(C*~B*~A))"),
//.LUTG1("(D*C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111100000000),
.INIT_LUTF1(16'b0100000000000000),
.INIT_LUTG0(16'b1110111100000000),
.INIT_LUTG1(16'b0100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u663|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg17_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1047_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1051_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1052_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1053_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u663_o ,open_n63911}),
.q({open_n63915,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [1]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(352)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~D*C*~B*A)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~D*C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000000000100000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u666|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b33 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u377_o ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [41]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [0],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [33]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1043_o }),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.mi({open_n63919,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [33]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1044_o }),
.q({open_n63934,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [33]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*C*~B*~A)"),
//.LUT1("(~1*~D*C*~B*~A)"),
.INIT_LUT0(16'b0000000000010000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u684 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [3]}),
.mi({open_n63947,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [4]}),
.fx({open_n63952,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n11_lutinv }));
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u752|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b11 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [12],open_n63955}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [13],open_n63956}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u752_o ,open_n63974}),
.q({open_n63978,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [11]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u753|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u754 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [6]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [8]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [9]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u753_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u754_o }));
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u755|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [2],open_n64003}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [3],open_n64004}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u755_o ,open_n64022}),
.q({open_n64026,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [2]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(A)"),
//.LUTG0("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u756 (
.a({open_n64027,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u752_o }),
.b({open_n64028,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u753_o }),
.c({open_n64029,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u754_o }),
.d({open_n64032,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u755_o }),
.f({open_n64050,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u757|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u302 (
.b({open_n64058,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [4]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_data_req ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [5]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n6_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u271_o }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n18 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u302_o }));
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u760|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [6],open_n64079}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [7],open_n64080}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b0_def_6_sel_is_2_o ,open_n64094}),
.q({open_n64098,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [6]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u761|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b11 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [12],open_n64099}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [13],open_n64100}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u761_o ,open_n64114}),
.q({open_n64118,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [11]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(150)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~D)"),
//.LUTF1("(~0*~D*C*B*A)"),
//.LUTG0("~(~C*~D)"),
//.LUTG1("(~1*~D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b1111111111110000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u762|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_tx_req_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv ,open_n64119}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b0_def_6_sel_is_2_o ,open_n64120}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u761_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n22_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n25_lutinv }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [11],open_n64122}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/mux8_b0_sel_is_3_o ,open_n64137}),
.q({open_n64141,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_req })); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(150)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*~A)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(D*C*~B*~A)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0001000000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0001000000000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u764|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u890 (
.a({open_n64142,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.b({open_n64143,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3:2]),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u764_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u890_o }));
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
EG_PHY_MSLICE #(
//.LUT0("(C@D)"),
//.LUT1("(D@C@B@A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111110000),
.INIT_LUT1(16'b0110100110010110),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u771|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg3_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [27],open_n64168}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [28],open_n64169}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [25]}),
.mi({open_n64181,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u771_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u309_o }),
.q({open_n64185,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [3]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u780|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b11 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [12],open_n64186}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [13],open_n64187}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u780_o ,open_n64201}),
.q({open_n64205,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [11]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u781|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u992 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [12]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [14]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [15]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u781_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u992_o }));
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u782|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b10 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [5],open_n64230}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [6],open_n64231}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [10]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u782_o ,open_n64245}),
.q({open_n64249,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [10]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u783|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [2],open_n64250}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [3],open_n64251}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u783_o ,open_n64265}),
.q({open_n64269,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [3]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
EG_PHY_MSLICE #(
//.LUT0("(A*~(0@C)*~(D@B))"),
//.LUT1("(A*~(1@C)*~(D@B))"),
.INIT_LUT0(16'b0000100000000010),
.INIT_LUT1(16'b1000000000100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u806 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u805_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u805_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [3]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3]}),
.mi({open_n64282,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [4]}),
.fx({open_n64287,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u806_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(~C*A*~(D@B))"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(~C*A*~(D@B))"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b0000100000000010),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b0000100000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u807|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u808 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u806_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [10]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [8]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [11]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [9]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u807_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u808_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~(D@B)*~(C@A))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u809|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u816 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [11],open_n64314}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [5],open_n64315}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u809_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u816_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(~(C@B)*~(D@A))"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(~(C@B)*~(D@A))"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b1000001001000001),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b1000001001000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u810|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u805 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u810_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u805_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*~B)*~(~C*A))"),
//.LUT1("(~(D@B)*~(C@A))"),
.INIT_LUT0(16'b1100010011110101),
.INIT_LUT1(16'b1000010000100001),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u811|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u831 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [15]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [6]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [15]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [6]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u811_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u831_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*~B)*~(C*~A))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b1000110010101111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u812|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u822 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u808_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [1]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u809_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [15]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u810_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u811_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [15]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u812_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u822_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D@C))"),
//.LUT1("(~(D@B)*~(C@A))"),
.INIT_LUT0(16'b1000000000001000),
.INIT_LUT1(16'b1000010000100001),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u813|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u819 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u817_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u818_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [0]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u813_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u819_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*A*~(0@D))"),
//.LUT1("(C*B*A*~(1@D))"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u814 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u807_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u807_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u812_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u812_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u813_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u813_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [9]}),
.mi({open_n64436,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [10]}),
.fx({open_n64441,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n14_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0@C)*~(~D*B))"),
//.LUT1("(~A*~(1@C)*~(~D*B))"),
.INIT_LUT0(16'b0000010100000001),
.INIT_LUT1(16'b0101000000010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u817 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u816_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u816_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [4]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.mi({open_n64456,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [4]}),
.fx({open_n64461,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u817_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*B)*~(~C*A))"),
//.LUTF1("(~(~D*B)*~(C*~A))"),
//.LUTG0("(~(~D*B)*~(~C*A))"),
//.LUTG1("(~(~D*B)*~(C*~A))"),
.INIT_LUTF0(16'b1111010100110001),
.INIT_LUTF1(16'b1010111100100011),
.INIT_LUTG0(16'b1111010100110001),
.INIT_LUTG1(16'b1010111100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u818|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u823 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [12]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [6]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [12]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [6]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u818_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u823_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*~C)*~(D*~B))"),
//.LUT1("(A*~(1*~C)*~(D*~B))"),
.INIT_LUT0(16'b1000100010101010),
.INIT_LUT1(16'b1000000010100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u821 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u820_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u820_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [10]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [7]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [10]}),
.mi({open_n64500,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [7]}),
.fx({open_n64505,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u821_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*A*~(~D*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~C*A*~(~D*B))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000101000000010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000101000000010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u824|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u827 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u819_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u826_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u821_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u822_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n38 }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u823_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u824_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u827_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*~C)*~(~D*B))"),
//.LUT1("(A*~(1*~C)*~(~D*B))"),
.INIT_LUT0(16'b1010101000100010),
.INIT_LUT1(16'b1010000000100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u826 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u825_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u825_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [9]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [7]}),
.mi({open_n64544,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [9]}),
.fx({open_n64549,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u826_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*~B)*~(~C*A))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b1100010011110101),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u828|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u825 (
.a({open_n64552,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [14]}),
.b({open_n64553,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [5]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [14]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u828_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u825_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0@C)*~(~D*B))"),
//.LUT1("(~A*~(1@C)*~(~D*B))"),
.INIT_LUT0(16'b0000010100000001),
.INIT_LUT1(16'b0101000000010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u829 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u828_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u828_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [10]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [8]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [10]}),
.mi({open_n64586,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [8]}),
.fx({open_n64591,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u829_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*B)*~(C*~A))"),
//.LUTF1("(~(~D*B)*~(C@A))"),
//.LUTG0("(~(~D*B)*~(C*~A))"),
//.LUTG1("(~(~D*B)*~(C@A))"),
.INIT_LUTF0(16'b1010111100100011),
.INIT_LUTF1(16'b1010010100100001),
.INIT_LUTG0(16'b1010111100100011),
.INIT_LUTG1(16'b1010010100100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u830|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u820 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [13]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [5]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [13]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u830_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u820_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*A*~(0@D))"),
//.LUT1("(C*B*A*~(1@D))"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u832 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u829_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u829_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u830_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u830_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u831_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u831_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [2]}),
.mi({open_n64630,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.fx({open_n64635,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u832_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1000110010101110),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000110010101110),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u852|_al_u310 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [0],\Interconncet/SlaveMUX/hsel_reg [4]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [1],\Interconncet/SlaveMUX/hsel_reg [5]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [10],RAMCODE_RDATA[10]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [11],RAMDATA_RDATA[10]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u852_o ,_al_u310_o}));
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*B*A)"),
//.LUT1("(1*D*C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u853 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [12]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [13]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [14]}),
.mi({open_n64674,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [15]}),
.fx({open_n64679,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u853_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u854 (
.a({open_n64682,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [6]}),
.b({open_n64683,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [7]}),
.c({open_n64684,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [8]}),
.d({open_n64687,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [9]}),
.f({open_n64701,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u854_o }));
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111111100000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u855|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b18 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u853_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u854_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u855_o }),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u856_o }),
.mi({open_n64717,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [18]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u855_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u857_o }),
.q({open_n64721,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [18]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u856|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b0 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [1],open_n64724}),
.c({\ethernet_i0/mac_test0/mac_send_end ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u856_o ,open_n64738}),
.q({open_n64742,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [0]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u861|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u863 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [11]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [13]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [14]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u861_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u863_o }));
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(1*D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u862|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b11 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u861_o ,open_n64763}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [1],open_n64764}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [15],open_n64766}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u862_o ,open_n64781}),
.q({open_n64785,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [11]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u864|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [3],open_n64786}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [4],open_n64787}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u864_o ,open_n64801}),
.q({open_n64805,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [0]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*(~(A)*C*~(0)+A*~(C)*0))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(~D*~B*(~(A)*C*~(1)+A*~(C)*1))"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b0000000000010000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u868|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u866 (
.a({open_n64806,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_ack }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_ack ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [2]}),
.e({open_n64809,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/sel0_b2/B1_0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/sel0_b4/B3_0 }));
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(180)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u883|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_tx_end_reg (
.a({open_n64830,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/mux8_b0_sel_is_3_o }),
.b({open_n64831,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u763_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u764_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4]}),
.e({open_n64833,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u883_o ,open_n64848}),
.q({open_n64852,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_end })); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(180)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100101111111011),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100101111111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u885|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg4_b26 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0],open_n64853}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1],open_n64854}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u546_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n73 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u554_o }),
.mi({open_n64858,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u885_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n59 }),
.q({open_n64873,\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_mac_addr [26]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(179)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~0*~D*~C*~B))"),
//.LUTF1("(~A*~(~D*C*~B))"),
//.LUTG0("(A*~(~1*~D*~C*~B))"),
//.LUTG1("(~A*~(~D*C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101010101000),
.INIT_LUTF1(16'b0101010101000101),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b0101010101000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u886|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg5_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u884_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/mux8_b0_sel_is_3_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u885_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u937_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u940_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u943_o }),
.e({open_n64875,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u944_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u886_o ,open_n64890}),
.q({open_n64894,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [1]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
//.LUT0("(~D*A*~(~C*B))"),
//.LUT1("(C*~(~B*~D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000010100010),
.INIT_LUT1(16'b1111000011000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u903|ethernet_i0/mac_test0/mac_top0/cache0/reg1_b15 (
.a({open_n64895,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u900_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u902_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u763_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u883_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [21]}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u901_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.mi({open_n64906,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [15]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u903_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u901_o }),
.q({open_n64910,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [15]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(21)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111011001111111),
.INIT_LUT1(16'b1101011001111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u904 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3]}),
.mi({open_n64923,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4]}),
.fx({open_n64928,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u904_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~C*~(A*~(0*D))))"),
//.LUTF1("(~D*~(~C*B))"),
//.LUTG0("(~B*~(~C*~(A*~(1*D))))"),
//.LUTG1("(~D*~(~C*B))"),
.INIT_LUTF0(16'b0011001000110010),
.INIT_LUTF1(16'b0000000011110011),
.INIT_LUTG0(16'b0011000000110010),
.INIT_LUTG1(16'b0000000011110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u908|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u922 (
.a({open_n64931,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u916_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u764_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u920_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u921_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u872_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u871_o }),
.e({open_n64934,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [19]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u908_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u922_o }));
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~B*~(0*~C)))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(A*~(D*~B*~(1*~C)))"),
//.LUTG1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000100010101010),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1000101010101010),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u909|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg5_b7 (
.a({open_n64955,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/mux8_b0_sel_is_3_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u877_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u881_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u882_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u886_o }),
.e({open_n64957,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u883_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u909_o ,open_n64972}),
.q({open_n64976,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [7]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~(~0*~C)*~(~D*~A)))"),
//.LUTF1("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(B*~(~(~1*~C)*~(~D*~A)))"),
//.LUTG1("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110001001100),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b0000000001000100),
.INIT_LUTG1(16'b1111111100001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u910|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg5_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u907_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u932_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u908_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/mux8_b0_sel_is_3_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u909_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u933_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u910_o ,open_n64992}),
.q({open_n64996,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [2]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D))"),
//.LUTF1("(~0*~D*~A*~(C*B))"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D))"),
//.LUTG1("(~1*~D*~A*~(C*B))"),
.INIT_LUTF0(16'b0010101000000001),
.INIT_LUTF1(16'b0000000000010101),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u921|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u923 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u890_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u764_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.d(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4:3]),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u921_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u923_o }));
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~C*B))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(D*~(~C*B))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001100000000),
.INIT_LUTF1(16'b1111111101111111),
.INIT_LUTG0(16'b1111001100000000),
.INIT_LUTG1(16'b1111010101110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u933|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg5_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0],open_n65019}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u891_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u895_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/mux8_b0_sel_is_3_o }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4],open_n65021}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u933_o ,open_n65036}),
.q({open_n65040,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [6]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011111001111),
.INIT_LUTF1(16'b0111000111010001),
.INIT_LUTG0(16'b1111011111001111),
.INIT_LUTG1(16'b0111000111010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u941|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/op [1]}),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1:0]),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2:1]),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n61 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2]}),
.mi({open_n65044,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u941_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u942_o }),
.q({open_n65059,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [13]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
// ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~0*~(~(D*~B)*~(A)*~(C)+~(D*~B)*A*~(C)+~(~(D*~B))*A*C+~(D*~B)*A*C))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~1*~(~(D*~B)*~(A)*~(C)+~(D*~B)*A*~(C)+~(~(D*~B))*A*C+~(D*~B)*A*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0101001101010000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u943|ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/reg3_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u941_o ,open_n65060}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u942_o ,open_n65061}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/n63 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5],open_n65062}),
.mi({open_n65064,\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u943_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u876_o }),
.q({open_n65079,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [5]})); // ../rtl/Ethernet/sources_1/mac/rx/arp_rx.v(165)
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*~B*~A)"),
//.LUT1("(~1*~D*~C*~B*~A)"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u960 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u959_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u959_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.mi({open_n65092,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel0_b7/B1 }),
.fx({open_n65097,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel0_b0/or_B0_B1_o_lutinv }));
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(~D*~C*A))"),
//.LUTF1("(C*~D)"),
//.LUTG0("~(~B*~(~D*~C*A))"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011001110),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1100110011001110),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u966|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg18_b5 (
.a({open_n65100,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n25_lutinv }),
.b({open_n65101,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel0_b5/B4 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n6_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n6_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u965_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_data_req }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u966_o ,open_n65119}),
.q({open_n65123,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [5]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
EG_PHY_MSLICE #(
//.LUT0("(~0*A*(B*~(C)*~(D)+~(B)*C*~(D)+~(B)*~(C)*D))"),
//.LUT1("(~1*A*(B*~(C)*~(D)+~(B)*C*~(D)+~(B)*~(C)*D))"),
.INIT_LUT0(16'b0000001000101000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u967 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u273_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u273_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [2]}),
.mi({open_n65136,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [3]}),
.fx({open_n65141,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u967_o }));
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*B)*~(C*~A))"),
//.LUTF1("(~C*~B*~(A*(0@D)))"),
//.LUTG0("~(~(D*B)*~(C*~A))"),
//.LUTG1("(~C*~B*~(A*(1@D)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110001010000),
.INIT_LUTF1(16'b0000000100000011),
.INIT_LUTG0(16'b1101110001010000),
.INIT_LUTG1(16'b0000001100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u968|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg18_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u327_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n14_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel0_b7/B1 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n25_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u967_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_data_req }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [5],open_n65145}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u968_o ,open_n65160}),
.q({open_n65164,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [6]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u973|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b0 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u973_o ,open_n65186}),
.q({open_n65190,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~((D*~C))*~(0)+A*B*~((D*~C))*~(0)+A*~(B)*(D*~C)*~(0)+~(A)*~(B)*~((D*~C))*0+A*~(B)*~((D*~C))*0+~(A)*B*~((D*~C))*0+A*B*~((D*~C))*0)"),
//.LUT1("(A*~(B)*~((D*~C))*~(1)+A*B*~((D*~C))*~(1)+A*~(B)*(D*~C)*~(1)+~(A)*~(B)*~((D*~C))*1+A*~(B)*~((D*~C))*1+~(A)*B*~((D*~C))*1+A*B*~((D*~C))*1)"),
.INIT_LUT0(16'b1010001010101010),
.INIT_LUT1(16'b1111000011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u978 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u977_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u977_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [15]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.mi({open_n65203,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.fx({open_n65208,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u978_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~0*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUT1("(~D*~(~1*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
.INIT_LUT0(16'b0000000000110101),
.INIT_LUT1(16'b0000000011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u979 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [15]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.mi({open_n65223,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]}),
.fx({open_n65228,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u979_o }));
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1010101011100100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u990|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b12 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],open_n65231}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [14],open_n65232}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [12]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u990_o ,open_n65246}),
.q({open_n65250,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [12]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(168)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C*~(B*~(D*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0111000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u994|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_tx_end_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u991_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u824_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u993_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u827_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u664_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u832_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u973_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u994_o ,open_n65264}),
.q({open_n65268,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_end })); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(168)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B)))"),
//.LUTF1("(D*~(A*~(C*~B)))"),
//.LUTG0("(A*~(D*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B)))"),
//.LUTG1("(D*~(A*~(C*~B)))"),
.INIT_LUTF0(16'b0010101010101010),
.INIT_LUTF1(16'b0111010100000000),
.INIT_LUTG0(16'b0000100010101010),
.INIT_LUTG1(16'b0111010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u996|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1052 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u981_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u981_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5]}),
.e({open_n65271,\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [1]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u996_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1052_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1110001111100000),
.INIT_LUT1(16'b1110111111101100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u997 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [13]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [13]}),
.mi({open_n65304,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [5]}),
.fx({open_n65309,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u997_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*A*~(~D*B))"),
.INIT_LUT0(16'b1010000000100000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u999 (
.a({open_n65312,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u997_o }),
.b({open_n65313,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u998_o }),
.c({open_n65314,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u973_o }),
.d({open_n65317,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [5]}),
.f({open_n65331,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u999_o }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/ucin_al_u1128"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/u11_al_u1131 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/ucin_al_u1128"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/u15_al_u1132 (
.a({open_n65355,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [15]}),
.c(2'b00),
.d({open_n65360,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/c15 ),
.f({open_n65377,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [15]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/ucin_al_u1128"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/u3_al_u1129 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/ucin_al_u1128"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/u7_al_u1130 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/ucin_al_u1128"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/ucin_al_u1128 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [1],open_n65436}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add0/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/ucin_al_u1133"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/u11_al_u1136 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/ucin_al_u1133"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/u15_al_u1137 (
.a({open_n65457,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [15]}),
.c(2'b00),
.d({open_n65462,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/c15 ),
.f({open_n65479,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [15]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/ucin_al_u1133"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/u3_al_u1134 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/ucin_al_u1133"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/u7_al_u1135 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/ucin_al_u1133"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/ucin_al_u1133 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [1],open_n65538}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/add1/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [0]}));
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(160)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*B)*~(~C*A))"),
//.LUTF1("(~D)"),
//.LUTG0("~(~(D*B)*~(~C*A))"),
//.LUTG1("(~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100111000001010),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b1100111000001010),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg0_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg6_b6 (
.a({open_n65541,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n27 }),
.b({open_n65542,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.c({open_n65543,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_end }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n27 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_data_req }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/op [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [6]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(160)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(C*D))"),
//.LUT1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110011001100),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg0_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg6_b7 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u257_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel0_b7/B1 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_end }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u256_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n27 ,open_n65581}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/op [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [7]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b1 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [1]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b7 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [7]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b13 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [13]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [15]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [4]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [6]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg1_b9 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n58 [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n44 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/timeout [9]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b1111110111101100),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b1111110111101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [0],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [8]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b0011000100100000),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b0011000100100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [11],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [4]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b0011000100100000),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b0011000100100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [12],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [2]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b0011000100100000),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b0011000100100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [13],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [5]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b0011000100100000),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b0011000100100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [14],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [9]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b0011000100100000),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b0011000100100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b15|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [15],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [7]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b0011000100100000),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b0011000100100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b16|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b24 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [16],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [24]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [16],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [24]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [16],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [24]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b0011000100100000),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b0011000100100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b17|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b27 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [17],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [27]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [27]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [27]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b0011000100100000),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b0011000100100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b18|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b26 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [18],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [26]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [26]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [26]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*~((~D*~C))*~(0)+A*(~D*~C)*~(0)+~(A)*(~D*~C)*0+A*(~D*~C)*0))"),
//.LUTF1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(B*(A*~((~D*~C))*~(1)+A*(~D*~C)*~(1)+~(A)*(~D*~C)*1+A*(~D*~C)*1))"),
//.LUTG1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000100010001000),
.INIT_LUTF1(16'b1111110111101100),
.INIT_LUTG0(16'b0000000000001100),
.INIT_LUTG1(16'b1111110111101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b19|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg5_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/sel1_b0_var/B4_0 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/mux8_b0_sel_is_3_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u957_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4]}),
.e({open_n65950,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [0]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b1111110111101100),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b1111110111101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b10 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [1],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [10]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [10]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [10]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b0011000100100000),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b0011000100100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b20|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b28 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [20],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [28]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [20],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [28]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [20],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [28]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b1111110111101100),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b1111110111101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b21|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b29 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [21],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [29]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [29]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [29]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110111101100),
.INIT_LUTF1(16'b0011000100100000),
.INIT_LUTG0(16'b1111110111101100),
.INIT_LUTG1(16'b0011000100100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b22|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b30 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [22],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [30]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [22],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [30]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [22],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [30]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110111101100),
.INIT_LUTF1(16'b1111110111101100),
.INIT_LUTG0(16'b1111110111101100),
.INIT_LUTG1(16'b1111110111101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b23|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b31 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [23],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [31]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [23],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [31]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [23],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [31]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b0011000100100000),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b0011000100100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [3],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [6]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [10],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [10],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [0]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [0]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b15 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [12],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [12],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [15]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [15]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [15]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b21 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [14],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [21]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [14],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [21]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [21]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [21]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b17|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b26 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [17],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [26]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [17],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [26]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [26]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [26]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b18|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b24 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [18],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [24]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [18],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [24]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [24]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [24]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b19|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [19],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [19],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [9]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [9]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b23 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [1],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [23]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [1],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [23]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [23]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [23]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b20|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b27 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [20],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [27]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [20],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [27]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [20],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [27]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [20],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [27]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [2],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [2],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [8]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [8]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b32|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b33 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [32],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [33]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [32],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [33]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [32],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [33]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [32],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [33]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b37|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b45 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [37],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [45]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [37],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [45]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [37],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [45]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [37],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [45]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
EG_PHY_LSLICE #(
//.LUTF0("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTF1("((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*~(D)*~(B)+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*~(B)+~((0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A))*D*B+(0*~(C)*~(A)+0*C*~(A)+~(0)*C*A+0*C*A)*D*B)"),
//.LUTG0("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
//.LUTG1("((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*~(D)*~(B)+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*~(B)+~((1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A))*D*B+(1*~(C)*~(A)+1*C*~(A)+~(1)*C*A+1*C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1111110100110001),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b41|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg3_b42 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [41],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [42]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [41],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [42]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [41],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [42]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [41],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_mac_addr [42]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(213)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b7 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [7]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b13 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [13]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [15]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b1 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [2:1]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [2:1])); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b3 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [4:3]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4:3])); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b0 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [0]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg4_b9 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n77 [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n76_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [9]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(237)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~C*~B*~(~0*~D)))"),
//.LUTF1("(B*~A*~(D*~C))"),
//.LUTG0("(A*~(~C*~B*~(~1*~D)))"),
//.LUTG1("(B*~A*~(D*~C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010100010101010),
.INIT_LUTF1(16'b0100000001000100),
.INIT_LUTG0(16'b1010100010101000),
.INIT_LUTG1(16'b0100000001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg5_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg5_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u922_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/mux8_b0_sel_is_3_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/mux8_b0_sel_is_3_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u899_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u923_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u903_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u904_o }),
.e({open_n66513,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_send_cnt [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [5]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(250)
EG_PHY_MSLICE #(
//.LUT0("~(~(D*B)*~(C*A))"),
//.LUT1("(A*~(B*~(D*~C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110110010100000),
.INIT_LUT1(16'b0010101000100010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg5_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/mac_tx_ready_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/mux8_b0_sel_is_3_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n10 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u910_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n12 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u913_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_ready }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u883_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_ready }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_ready })); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
EG_PHY_LSLICE #(
//.LUTF0("~(~(~D*C*B)*~(~0*A))"),
//.LUTF1("~(~(C*B)*~(D*A))"),
//.LUTG0("~(~(~D*C*B)*~(~1*A))"),
//.LUTG1("~(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101011101010),
.INIT_LUTF1(16'b1110101011000000),
.INIT_LUTG0(16'b0000000011000000),
.INIT_LUTG1(16'b1110101011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg6_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg6_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n25_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u383_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n21_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u304_o ,\ethernet_i0/mac_test0/mac_top0/arp_reply_req }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_data_req ,\ethernet_i0/mac_test0/arp_request_req }),
.e({open_n66552,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_arp_tx_ack }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [4]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
EG_PHY_MSLICE #(
//.LUT0("~(~(D*B)*~(C*A))"),
//.LUT1("~(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110110010100000),
.INIT_LUT1(16'b1110110010100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg6_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg6_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n18 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n18 [5]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n25_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n22_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_arp_tx_ack ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_arp_tx_ack }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/state [2]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(64)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(C@D)"),
//.LUT1("~(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111110000),
.INIT_LUT1(16'b1111000000001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b19 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [11]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u768_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u262_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [19]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_LSLICE #(
//.LUTF0("~(C@D)"),
//.LUTF1("~(C@D)"),
//.LUTG0("~(C@D)"),
//.LUTG1("~(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000001111),
.INIT_LUTF1(16'b1111000000001111),
.INIT_LUTG0(16'b1111000000001111),
.INIT_LUTG1(16'b1111000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b8 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u772_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u772_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [8]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("~(D@C@B@A)"),
//.LUT1("~(D@C@B@A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001011001101001),
.INIT_LUT1(16'b1001011001101001),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u849_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u317_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u250_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u313_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u309_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [5]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [13]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b1100001100111100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b15|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b16 (
.a({open_n66653,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u317_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u317_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [24]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [8]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u262_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [7]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [16]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(0@D@C@B@A)"),
//.LUT1("(1@D@C@B@A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b1001011001101001),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b17 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u250_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u250_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u309_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u309_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [30]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [9]}),
.mi({open_n66681,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [1]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({open_n66687,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [17]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(C@B@D)"),
//.LUT1("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001100111100),
.INIT_LUT1(16'b1100001100111100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b18|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b27 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u261_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u317_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [19]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u313_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u311_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [27]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b5 (
.a({open_n66707,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u262_o }),
.b({open_n66708,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u317_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/CrcNext[0] ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/CrcNext[0] }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u311_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u309_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [5]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("~(D@C@B@A)"),
//.LUT1("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001011001101001),
.INIT_LUT1(16'b1100001100111100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b20|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b14 (
.a({open_n66726,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u849_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [28],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [28]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [3]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [20],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [14]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_LSLICE #(
//.LUTF0("(C@B@D)"),
//.LUTF1("(C@D)"),
//.LUTG0("(C@B@D)"),
//.LUTG1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001100111100),
.INIT_LUTF1(16'b0000111111110000),
.INIT_LUTG0(16'b1100001100111100),
.INIT_LUTG1(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b21|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b23 (
.b({open_n66746,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u309_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [15]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u250_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/CrcNext[0] }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [23]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b25|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b0 (
.a({open_n66768,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [24]}),
.b({open_n66769,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [30]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u259_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [7]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.f({open_n66782,\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/CrcNext[0] }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [25],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [0]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(C@B@D)"),
//.LUT1("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001100111100),
.INIT_LUT1(16'b1100001100111100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b26|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b22 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u771_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [24]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [7]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/CrcNext[0] ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [14]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [26],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [22]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b1100001100111100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b28|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b24 (
.a({open_n66805,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u311_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u250_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [16]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [20],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [26]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u313_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [5]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [28],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [24]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(C@B@D)"),
//.LUT1("~(C@B@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001100111100),
.INIT_LUT1(16'b0011110011000011),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b6 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u313_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u317_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u261_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u313_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u311_o }),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [6]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b31|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b30 (
.a({open_n66842,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u261_o }),
.b({open_n66843,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [22]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [23],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [28]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u250_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [3]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [31:30])); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(D@C@B@A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b0110100110010110),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u313_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u262_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u771_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u309_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [24],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [26]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [5]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [4:3])); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
// ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("~(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b1111000000001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b29 (
.a({open_n66878,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u262_o }),
.b({open_n66879,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [21]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u261_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [30]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u768_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [1]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [29]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_MSLICE #(
//.LUT0("(0@D@C@B@A)"),
//.LUT1("(1@D@C@B@A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b1001011001101001),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("SR"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/c0/reg0_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u317_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u317_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u309_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u309_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [26],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [26]}),
.mi({open_n66907,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [5]}),
.sr(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ),
.q({open_n66913,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [9]})); // ../rtl/Ethernet/sources_1/mac/crc.v(60)
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/ucin_al_u1138"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/u11_al_u1141 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [12]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [13]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/ucin_al_u1138"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/u3_al_u1139 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [4]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [5]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/ucin_al_u1138"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/u7_al_u1140 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [8]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [9]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/ucin_al_u1138"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/ucin_al_u1138 (
.a(2'b10),
.b(2'b11),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [2],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [1]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [1],open_n66985}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n13 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/ucin_al_u1138"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/ucout_al_u1142 (
.c(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add0/c15 ),
.f({open_n67012,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n12 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/ucin_al_u1118"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/u11_al_u1121 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [11]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [12]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/ucin_al_u1118"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/u15_al_u1122 (
.a({open_n67036,1'b0}),
.c(2'b00),
.d({open_n67041,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [15]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/c15 ),
.f({open_n67058,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [15]}),
.fx({open_n67060,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n38 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/ucin_al_u1118"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/u3_al_u1119 (
.a(2'b01),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [3]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [4]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/ucin_al_u1118"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/u7_al_u1120 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [7]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [8]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/ucin_al_u1118"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/ucin_al_u1118 (
.a(2'b00),
.b(2'b11),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [1],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [1],open_n67116}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add1/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n39 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/ucin_al_u1113"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/u11_al_u1116 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [12]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [11]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [12]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/ucin_al_u1113"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/u15_al_u1117 (
.a({open_n67137,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [15]}),
.b({open_n67138,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [16]}),
.c(2'b00),
.d({open_n67141,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [15]}),
.e({open_n67142,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [16]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n81 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [15]}),
.fx({open_n67158,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/ucin_al_u1113"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/u3_al_u1114 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [3]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [4]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/ucin_al_u1113"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/u7_al_u1115 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [8]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [7]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [8]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/ucin_al_u1113"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/ucin_al_u1113 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [1],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [1],open_n67214}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add10/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/ucin_al_u1108"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/u11_al_u1111 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [12]}),
.c(2'b00),
.d(2'b00),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp6 [0],1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/ucin_al_u1108"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/u15_al_u1112 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [15]}),
.b({open_n67235,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [16]}),
.c(2'b00),
.d(2'b00),
.e({open_n67238,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp6 [0]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [15]}),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n85 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/ucin_al_u1108"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/u3_al_u1109 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp6 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp6 [0]}),
.e({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp6 [4]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/ucin_al_u1108"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/u7_al_u1110 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [8]}),
.c(2'b00),
.d({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp6 [0]}),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/ucin_al_u1108"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/ucin_al_u1108 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp6 [0],1'b1}),
.e({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp6 [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [1],open_n67309}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add12/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/ucin_al_u1102"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/u11_al_u1105 (
.a(2'b00),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 [0],1'b0}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [11]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [12]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/ucin_al_u1102"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/u15_al_u1106 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 [0]}),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [15]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [16]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [15]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c19 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/ucin_al_u1102"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/u3_al_u1103 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 [0]}),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [3]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [4]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/ucin_al_u1102"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/u7_al_u1104 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 [0]}),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [7]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [8]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/ucin_al_u1102"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/ucin_al_u1102 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 [0],1'b0}),
.b({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [1],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [1],open_n67401}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/ucin_al_u1102"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/ucout_al_u1107 (
.c(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add13/c19 ),
.f({open_n67428,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n87 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/ucin_al_u1123"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/u11_al_u1126 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/ucin_al_u1123"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/u15_al_u1127 (
.a({open_n67452,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [15]}),
.c(2'b00),
.d({open_n67457,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/c15 ),
.f({open_n67474,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [15]}),
.fx({open_n67476,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n89 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/ucin_al_u1123"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/u3_al_u1124 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [4]}),
.c(2'b00),
.d({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [19]}),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/ucin_al_u1123"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/u7_al_u1125 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/ucin_al_u1123"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/ucin_al_u1123 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [17],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [16]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [1],open_n67532}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add14/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [0]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u0|ip0/add15/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u0|ip0/add15/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [0],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [16],open_n67535}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [0],open_n67555}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u0|ip0/add15/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u10|ip0/add15/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u0|ip0/add15/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u12|ip0/add15/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u0|ip0/add15/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u14|ip0/add15/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u0|ip0/add15/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u15_al_u1143 (
.a({open_n67626,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [15]}),
.b({open_n67627,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c15 ),
.f({open_n67646,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u0|ip0/add15/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u2|ip0/add15/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u0|ip0/add15/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u4|ip0/add15/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u0|ip0/add15/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u6|ip0/add15/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u0|ip0/add15/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/u8|ip0/add15/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add15/c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u0|ip0/add2/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u0|ip0/add2/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [0],1'b0}),
.b({1'b1,open_n67740}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [0],open_n67760}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u0|ip0/add2/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u10|ip0/add2/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u0|ip0/add2/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u12|ip0/add2/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u0|ip0/add2/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u14|ip0/add2/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u0|ip0/add2/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u15_al_u1144 (
.a({open_n67831,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [15]}),
.b({open_n67832,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c15 ),
.f({open_n67851,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u0|ip0/add2/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u2|ip0/add2/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u0|ip0/add2/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u4|ip0/add2/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u0|ip0/add2/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u6|ip0/add2/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u0|ip0/add2/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/u8|ip0/add2/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add2/c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u0|ip0/add5/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u0|ip0/add5/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],1'b0}),
.b({1'b1,open_n67945}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [0],open_n67965}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u0|ip0/add5/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u10|ip0/add5/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u0|ip0/add5/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u12|ip0/add5/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u0|ip0/add5/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u14|ip0/add5/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u0|ip0/add5/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u15_al_u1146 (
.a({open_n68036,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [15]}),
.b({open_n68037,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c15 ),
.f({open_n68056,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u0|ip0/add5/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u2|ip0/add5/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u0|ip0/add5/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u4|ip0/add5/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u0|ip0/add5/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u6|ip0/add5/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u0|ip0/add5/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/u8|ip0/add5/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add5/c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/u0|ip0/add6/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/u0|ip0/add6/ucin (
.a(2'b10),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [8],open_n68150}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n74 [0],open_n68170}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/u0|ip0/add6/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/u2|ip0/add6/u1 (
.a(2'b10),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [10:9]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n74 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/u0|ip0/add6/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/u4|ip0/add6/u3 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [12:11]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n74 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/u0|ip0/add6/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/u6|ip0/add6/u5 (
.a(2'b10),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [14:13]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n74 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/u0|ip0/add6/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/ucout|ip0/add6/u7 (
.a({open_n68241,1'b0}),
.b({open_n68242,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [15]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add6/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n73 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n74 [7]}));
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(148)
EG_PHY_LSLICE #(
//.LUTF0("~(~(~D*B)*~(C*A))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("~(~(~D*B)*~(C*A))"),
//.LUTG1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000011101100),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1010000011101100),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_tx_ack_reg|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg18_b1 (
.a({open_n68266,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n21_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_req }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u274_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_ip_tx_ack }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n22 ,open_n68284}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_ack ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [1]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(158)
EG_PHY_MSLICE #(
//.LUT0("~(~C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_tx_ready_reg|ethernet_i0/mac_test0/mac_top0/cache0/reg0_b39 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_tx_ready ,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [39]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n25_lutinv ,\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_ready ,\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [39]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_0|ip0/lt0_cin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [0],1'b0}),
.b({1'b0,open_n68310}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_rx0/ip0/n50 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_rx_dataout [1:0]),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c1 ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_rx0/net_protocol [1:0]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_10|ip0/lt0_9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c9 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_12|ip0/lt0_11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c11 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_14|ip0/lt0_13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c13 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_2|ip0/lt0_1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [2:1]),
.b(2'b11),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c1 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_4|ip0/lt0_3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [4:3]),
.b(2'b01),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c3 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_6|ip0/lt0_5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [6:5]),
.b(2'b01),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c5 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_8|ip0/lt0_7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c7 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_0|ip0/lt0_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_cout|ip0/lt0_15 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [15]}),
.b(2'b10),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/lt0_c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 ,open_n68515}));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [6]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b13 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [13]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [15]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [2]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b7 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [7]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [6]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b8 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [8]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(190)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg0_b9|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n52 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/timeout [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [15]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b11 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [11]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [11]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [7]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [13]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [13]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b15 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [15]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [15]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b16|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b17 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [16],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n81 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [16],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [17]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [16],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [17]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [2]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [4]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [6]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg10_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n82 [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp5 [9]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [1]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [7]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b12 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [12]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [12]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [12]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [14]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b15|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b16 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [16]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [16]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [16]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b17|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b18 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n85 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [18]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [18]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [3]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [5]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101000010000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011101000010000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b6|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg11_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp6 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp6 [0]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg12_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n86 [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp7 [9]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [1]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [7]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b12 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [12]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [12]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [12]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b16 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [16]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [16]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [16]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b19 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n87 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [19]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [19]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b15|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [0]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b17|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b18 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [18]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [18]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [18]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~(C*~B))"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("~(~D*~(C*~B))"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100110000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1111111100110000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg7_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,open_n69293}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 [0]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [4]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [6]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg13_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n88 [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp8 [9]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [10:9]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [10:9]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [10:9])); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [13]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [13]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b16 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n89 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [16]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [16]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101000010000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011101000010000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg11_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp6 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp6 [4]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b15|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [1]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [2]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [4]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [6]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg14_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n90 [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/check_out [8]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [2]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [8]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b11 (
.a({open_n69624,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({open_n69625,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({open_n69626,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n69628,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [11]}),
.sr(RSTn_pad),
.q({open_n69650,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [11]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101000010000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011101000010000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg7_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_type [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp2 [4]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [14]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(305)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("(~D)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b15|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg4_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,open_n69695}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,open_n69696}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [15],open_n69697}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [15],RSTn_pad}),
.mi({open_n69702,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata [5]}),
.sr(RSTn_pad),
.f({open_n69714,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n69 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 [5]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(305)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [4]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [6]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg15_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n92 [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [9]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b2 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [2]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b12 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [12]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [12]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b14 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [14]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b15 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [15]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [15]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b4 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [4]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b6 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [6]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b8 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [8]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg16_b9 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checkout_buf [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum [9]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(332)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(352)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(352)
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~A*~(D*~(~C*~B))))"),
//.LUTF1("(0*~(~B*~(D*~(~C*~A))))"),
//.LUTG0("(1*~(~A*~(D*~(~C*~B))))"),
//.LUTG1("(1*~(~B*~(D*~(~C*~A))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1111111010101010),
.INIT_LUTG1(16'b1111111011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg17_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg17_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1036_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u984_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1037_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u989_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1041_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u994_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [6]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(352)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(352)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(352)
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~A*~(D*~(~C*~B))))"),
//.LUTF1("(C*~(~D*~(A)*~(B)+~D*A*~(B)+~(~D)*A*B+~D*A*B))"),
//.LUTG0("(1*~(~A*~(D*~(~C*~B))))"),
//.LUTG1("(C*~(~D*~(A)*~(B)+~D*A*~(B)+~(~D)*A*B+~D*A*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0111000001000000),
.INIT_LUTG0(16'b1111111010101010),
.INIT_LUTG1(16'b0111000001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg17_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg17_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1030_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u996_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1001_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1006_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o }),
.e({open_n70021,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [5]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(352)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(352)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(352)
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~C*~(D*~(~B*~A))))"),
//.LUTF1("(0*~(~B*~(D*~(~C*~A))))"),
//.LUTG0("(1*~(~C*~(D*~(~B*~A))))"),
//.LUTG1("(1*~(~B*~(D*~(~C*~A))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1111111011110000),
.INIT_LUTG1(16'b1111111011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg17_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg17_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1013_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u976_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1014_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u980_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1019_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u982_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [7]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(352)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
EG_PHY_MSLICE #(
//.LUT0("((C*A)*~(D)*~(B)+(C*A)*D*~(B)+~((C*A))*D*B+(C*A)*D*B)"),
//.LUT1("~(~C*~(B*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110110000100000),
.INIT_LUT1(16'b1111110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg18_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/ip_tx_ready_reg (
.a({open_n70061,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n11_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel0_b7/B1 ,\ethernet_i0/mac_test0/mac_top0/icmp_tx_ready }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n14_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_ready }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_tx_ready })); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(202)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(202)
EG_PHY_LSLICE #(
//.LUTF0("(D*(C@B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(D*(C@B))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011110000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0011110000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg1_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg1_b1 (
.b({open_n70082,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n22 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n22 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [1]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(202)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(202)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(202)
EG_PHY_LSLICE #(
//.LUTF0("(A*(0@(D*C*B)))"),
//.LUTF1("(A*(D@(C*B)))"),
//.LUTG0("(A*(1@(D*C*B)))"),
//.LUTG1("(A*(D@(C*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0010101010000000),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b0010101010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg1_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg1_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n22 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n22 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [2]}),
.e({open_n70106,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [3]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(202)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b0|ip0/add4/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b0|ip0/add4/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [0],1'b0}),
.b({1'b1,open_n70126}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_end ),
.clk(\ethernet_i0/gmii_rx_clk ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c1 ),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [0],open_n70147}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b0|ip0/add4/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b10|ip0/reg2_b9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [10:9]),
.b(2'b00),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_end ),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c9 ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c11 ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [10:9]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b0|ip0/add4/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b12|ip0/reg2_b11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [12:11]),
.b(2'b00),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_end ),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c11 ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c13 ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [12:11]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b0|ip0/add4/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b14|ip0/reg2_b13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [14:13]),
.b(2'b00),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_end ),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c13 ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c15 ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [14:13]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b0|ip0/add4/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b15_al_u1145 (
.a({open_n70205,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [15]}),
.b({open_n70206,1'b0}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_end ),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c15 ),
.sr(RSTn_pad),
.q({open_n70227,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b0|ip0/add4/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b2|ip0/reg2_b1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [2:1]),
.b(2'b00),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_end ),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c1 ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c3 ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [2:1]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b0|ip0/add4/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b4|ip0/reg2_b3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [4:3]),
.b(2'b00),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_end ),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c3 ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c5 ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [4:3]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b0|ip0/add4/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b6|ip0/reg2_b5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [6:5]),
.b(2'b00),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_end ),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c5 ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c7 ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [6:5]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b0|ip0/add4/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg2_b8|ip0/reg2_b7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [8:7]),
.b(2'b00),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_end ),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c7 ),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/add4/c9 ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [8:7]));
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [6]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b9 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [10:9]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [10:9])); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b14 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [14]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [15]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~D)"),
//.LUT1("~(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111110000),
.INIT_LUT1(16'b1111111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b5 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [5]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("~(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1111111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [4]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg3_b8 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n63 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_data_length_d0 [8]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(220)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b1 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b12 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [12]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [12]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b14 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [14]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/icmp_reply.v(180)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~C*~B))"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111100),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b15|ethernet_i0/mac_test0/mac_top0/icmp0/icmp_tx_req_reg (
.b({open_n70542,\ethernet_i0/mac_test0/mac_top0/_al_u553_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [15],\ethernet_i0/mac_test0/mac_top0/icmp_tx_req }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/n38 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [15],\ethernet_i0/mac_test0/mac_top0/icmp_tx_req })); // ../rtl/Ethernet/sources_1/mac/icmp_reply.v(180)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b3 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [4:3]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [4:3])); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b6|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [2]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b7 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [8:7]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [8:7])); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b9|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg4_b5 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n69 [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [5]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(233)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTF1("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTG0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTG1("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101000010000),
.INIT_LUTF1(16'b1011101000010000),
.INIT_LUTG0(16'b1011101000010000),
.INIT_LUTG1(16'b1011101000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [2]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n74 [2:1]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [10:9]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [10:9])); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b11 (
.a({open_n70697,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({open_n70698,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({open_n70699,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n74 [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n70701,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [11]}),
.sr(RSTn_pad),
.q({open_n70723,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [11]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101000010000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011101000010000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n74 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [7]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n74 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n74 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [14]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b15|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b16 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n74 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n73 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [16]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [16]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTF1("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTG0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTG1("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101000010000),
.INIT_LUTF1(16'b1011101000010000),
.INIT_LUTG0(16'b1011101000010000),
.INIT_LUTG1(16'b1011101000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [3]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTF1("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTG0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTG1("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101000010000),
.INIT_LUTF1(16'b1011101000010000),
.INIT_LUTG0(16'b1011101000010000),
.INIT_LUTG1(16'b1011101000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [6]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101000010000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011101000010000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg5_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n74 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp0 [5]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [2]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [5]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [13]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [13]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((0*~B)*~((D*C))*~(A)+(0*~B)*(D*C)*~(A)+~((0*~B))*(D*C)*A+(0*~B)*(D*C)*A)"),
//.LUTF1("((0*~B)*~((D@C))*~(A)+(0*~B)*(D@C)*~(A)+~((0*~B))*(D@C)*A+(0*~B)*(D@C)*A)"),
//.LUTG0("((1*~B)*~((D*C))*~(A)+(1*~B)*(D*C)*~(A)+~((1*~B))*(D*C)*A+(1*~B)*(D*C)*A)"),
//.LUTG1("((1*~B)*~((D@C))*~(A)+(1*~B)*(D@C)*~(A)+~((1*~B))*(D@C)*A+(1*~B)*(D@C)*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b0000101010100000),
.INIT_LUTG0(16'b1011000100010001),
.INIT_LUTG1(16'b0001101110110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b15|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b16 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [15]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [16]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [16]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [3]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [6]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [9]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*~B)*~(C)*~(A)+~(D*~B)*C*~(A)+~(~(D*~B))*C*A+~(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("~(~(D*~B)*~(C)*~(A)+~(D*~B)*C*~(A)+~(~(D*~B))*C*A+~(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001101100001010),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b0001101100001010),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg6_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/identify_code [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_tmp1 [14]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(282)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u0|ipmode/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u0|ipmode/add0/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [0],1'b0}),
.b({1'b1,open_n71030}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi(\ethernet_i0/mac_test0/mac_top0/arp_rec_source_mac_addr [17:16]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [0],open_n71045}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c1 ),
.q(\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [17:16]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u0|ipmode/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u10|ipmode/add0/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u0|ipmode/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u12|ipmode/add0/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u0|ipmode/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u14|ipmode/add0/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u0|ipmode/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u15_al_u1147 (
.a({open_n71114,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [15]}),
.b({open_n71115,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c15 ),
.f({open_n71134,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u0|ipmode/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u2|ipmode/add0/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u0|ipmode/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u4|ipmode/add0/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u0|ipmode/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u6|ipmode/add0/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u0|ipmode/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/u8|ipmode/add0/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/add0/c9 ));
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b9 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [10:9]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [10:9])); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b13 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [13]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [15]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [2]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [4]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [6]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg0_b8 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n18 [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u685_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/timeout [8]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(111)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b11 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [10],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [11]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b13 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [12],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [13]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [14],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [15]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [1],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [2]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~D)"),
//.LUT1("~(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111110000),
.INIT_LUT1(16'b1111111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b5 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [3],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [5]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b0 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [4],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [0]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("~(~C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1111111111110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b6|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b7 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [6],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [7]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("~(~C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("~(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1111111111110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1111111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg1_b9 (
.c({\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [8],\ethernet_i0/mac_test0/mac_top0/icmp_send_data_length [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_send_data_length [9]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(121)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
EG_PHY_LSLICE #(
//.LUTF0("((C*A)*~(D)*~(B)+(C*A)*D*~(B)+~((C*A))*D*B+(C*A)*D*B)"),
//.LUTF1("((C*A)*~(D)*~(B)+(C*A)*D*~(B)+~((C*A))*D*B+(C*A)*D*B)"),
//.LUTG0("((C*A)*~(D)*~(B)+(C*A)*D*~(B)+~((C*A))*D*B+(C*A)*D*B)"),
//.LUTG1("((C*A)*~(D)*~(B)+(C*A)*D*~(B)+~((C*A))*D*B+(C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1110110000100000),
.INIT_LUTG1(16'b1110110000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg2_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg2_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n11_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n11_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [2],\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [3]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
EG_PHY_MSLICE #(
//.LUT0("((C*A)*~(D)*~(B)+(C*A)*D*~(B)+~((C*A))*D*B+(C*A)*D*B)"),
//.LUT1("((C*A)*~(D)*~(B)+(C*A)*D*~(B)+~((C*A))*D*B+(C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110110000100000),
.INIT_LUT1(16'b1110110000100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg2_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg2_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n11_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n11_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [4],\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [5]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
EG_PHY_MSLICE #(
//.LUT0("((C*A)*~(D)*~(B)+(C*A)*D*~(B)+~((C*A))*D*B+(C*A)*D*B)"),
//.LUT1("((C*A)*~(D)*~(B)+(C*A)*D*~(B)+~((C*A))*D*B+(C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110110000100000),
.INIT_LUT1(16'b1110110000100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg2_b6|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg2_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n11_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n11_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [6],\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [0]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
EG_PHY_LSLICE #(
//.LUTF0("((C*A)*~(D)*~(B)+(C*A)*D*~(B)+~((C*A))*D*B+(C*A)*D*B)"),
//.LUTF1("((C*A)*~(D)*~(B)+(C*A)*D*~(B)+~((C*A))*D*B+(C*A)*D*B)"),
//.LUTG0("((C*A)*~(D)*~(B)+(C*A)*D*~(B)+~((C*A))*D*B+(C*A)*D*B)"),
//.LUTG1("((C*A)*~(D)*~(B)+(C*A)*D*~(B)+~((C*A))*D*B+(C*A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000100000),
.INIT_LUTF1(16'b1110110000100000),
.INIT_LUTG0(16'b1110110000100000),
.INIT_LUTG1(16'b1110110000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg2_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg2_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n11_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n11_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [7],\ethernet_i0/mac_test0/mac_top0/icmp_tx_data [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_layer_data [1]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(162)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(53)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(53)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~A*~(C*B)))"),
//.LUTF1("~(~(D*B)*~(C*A))"),
//.LUTG0("(~D*~(~A*~(C*B)))"),
//.LUTG1("~(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011101010),
.INIT_LUTF1(16'b1110110010100000),
.INIT_LUTG0(16'b0000000011101010),
.INIT_LUTG1(16'b1110110010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg4_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/reg4_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u857_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u378_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u379_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u265_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u377_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_ack ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [4]}),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [4:3])); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(53)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u0|mac0/add0/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [0],1'b0}),
.b({1'b1,open_n71696}),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/gmii_txd [4],\ethernet_i0/gmii_txd [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [0],open_n71713}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c1 ),
.q({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [4],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [0]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u10|mac0/add0/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u12|mac0/add0/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u14|mac0/add0/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u15_al_u1148 (
.a({open_n71782,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [15]}),
.b({open_n71783,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c15 ),
.f({open_n71802,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u2|mac0/add0/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u4|mac0/add0/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u6|mac0/add0/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u0|mac0/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/u8|mac0/add0/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/add0/c9 ));
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(171)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*C*B*A)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~1*~D*C*B*A)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crcre_reg|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_data_req_reg (
.a({open_n71896,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o }),
.b({open_n71897,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n18 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [2]}),
.e({open_n71899,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n20 ,open_n71914}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcre ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_data_req })); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(171)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(163)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_data_valid_reg|ethernet_i0/mac_test0/reg1_b0 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_data_valid_tmp ,\ethernet_i0/mac_test0/gmii_txd_tmp [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/gmii_tx_en_tmp ,\ethernet_i0/gmii_txd [0]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(55)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(120)
EG_PHY_LSLICE #(
//.LUTF0("~(~(~(~D*C)*B)*~(0*A))"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("~(~(~(~D*C)*B)*~(1*A))"),
//.LUTG1("(D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110000001100),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b1110111010101110),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_send_end_reg|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg7_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u279_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n10 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u712_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [3]}),
.e({open_n71943,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_ready }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_send_end ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [2]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(55)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(55)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(110)
EG_PHY_LSLICE #(
//.LUTF0("~(~0*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D))"),
//.LUTF1("(~D*C*~B*A)"),
//.LUTG0("~(~1*(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D))"),
//.LUTG1("(~D*C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001100111010),
.INIT_LUTF1(16'b0000000000100000),
.INIT_LUTG0(16'b1111111111111111),
.INIT_LUTG1(16'b0000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_ack_reg|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg7_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u277_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/sel0_b0/B2_0 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u279_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [4]}),
.e({open_n71964,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n10 ,open_n71979}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_ack ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [0]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(55)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg0_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg0_b3 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n20 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n20 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [3]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg0_b2 (
.c({open_n72013,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n72015,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n20 }),
.sr(RSTn_pad),
.q({open_n72033,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [2]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg0_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg0_b5 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n20 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n20 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [5]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg0_b6|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg0_b1 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n20 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n20 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [1]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(130)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg0_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg5_b1 (
.a({open_n72082,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.b({open_n72083,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n20 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [1]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b9 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [10:9]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [10:9])); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b12 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [12]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [12]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b14 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [14]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101000001000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0010101000001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b15|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg9_b1 (
.a({open_n72180,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n23_lutinv }),
.b({open_n72181,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/n57_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [15],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_check [1]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(265)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [2]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [4]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [6]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg1_b8 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n28 [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [8]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(181)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*~B)*~(~C*A))"),
//.LUTF1("(~(D*~B)*~(C*A))"),
//.LUTG0("(~(D*~B)*~(~C*A))"),
//.LUTG1("(~(D*~B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010011110101),
.INIT_LUTF1(16'b0100110001011111),
.INIT_LUTG0(16'b1100010011110101),
.INIT_LUTG1(16'b0100110001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n24 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n24 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/sel1_b4_var/B1_0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u363_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u354_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u364_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [1]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b22 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [22]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [22]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b16 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [16]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [16]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b20 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [20]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [20]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b13 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n72405,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [13]}),
.sr(RSTn_pad),
.q({open_n72422,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [13]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b23 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [23]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [23]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b15|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b18 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [18]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [18]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011100000111011),
.INIT_LUTF1(16'b1100110001110100),
.INIT_LUTG0(16'b0011100000111011),
.INIT_LUTG1(16'b1100110001110100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b17|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b26 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [27],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [31]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [0]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [26]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u352_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u366_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [26]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110001110100),
.INIT_LUT1(16'b1100110001110100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b19|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b30 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [26]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [5]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [30]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u362_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u347_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [30]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTF1("(~(D*~B)*~(~C*A))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG1("(~(D*~B)*~(~C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011100000111011),
.INIT_LUTF1(16'b1100010011110101),
.INIT_LUTG0(16'b0011100000111011),
.INIT_LUTG1(16'b1100010011110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b24|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b28 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n24 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [28]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u348_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [3]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [24],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [28]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u349_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u356_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [24],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [28]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(D@C@B@A)"),
//.LUTF1("~(D@C@B@A)"),
//.LUTG0("(D@C@B@A)"),
//.LUTG1("~(D@C@B@A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0110100110010110),
.INIT_LUTF1(16'b1001011001101001),
.INIT_LUTG0(16'b0110100110010110),
.INIT_LUTG1(16'b1001011001101001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b25|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b27 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u259_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [26]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u250_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [30]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [24],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [25],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [27]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u768_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u313_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [25],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [27]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010110000001111),
.INIT_LUT1(16'b1010110000001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [10]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [22],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [18]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u362_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u347_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [9]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u363_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u348_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [9]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+B*C*~(D)*0+~(B)*~(C)*D*0))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUTG0("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+B*C*~(D)*1+~(B)*~(C)*D*1))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001000100010),
.INIT_LUTF1(16'b0101001111110000),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b0101001111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n24 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u359_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u352_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [13]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [21]}),
.e({open_n72550,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/sel1_b4_var/B1_0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u360_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [3]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+B*C*~(D)*0+~(B)*~(C)*D*0))"),
//.LUTF1("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+B*C*~(D)*0+~(B)*~(C)*D*0))"),
//.LUTG0("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+B*C*~(D)*1+~(B)*~(C)*D*1))"),
//.LUTG1("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+B*C*~(D)*1+~(B)*~(C)*D*1))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001000100010),
.INIT_LUTF1(16'b0010001000100010),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b0000001010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b6|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n24 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n24 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u366_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u356_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [12]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [23],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [20]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [7]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u367_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u357_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [7]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100101000001111),
.INIT_LUT1(16'b1100101000001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg2_b21 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [16],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [17]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [9]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u340_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u344_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/crcen ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [21]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u341_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u345_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [21]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(192)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
EG_PHY_LSLICE #(
//.LUTF0("~(C@B@D)"),
//.LUTF1("(C@D)"),
//.LUTG0("~(C@B@D)"),
//.LUTG1("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011110011000011),
.INIT_LUTF1(16'b0000111111110000),
.INIT_LUTG0(16'b0011110011000011),
.INIT_LUTG1(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg3_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg3_b0 (
.b({open_n72596,\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [24]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_din [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/crc_result [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u309_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u250_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u770_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [0]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C@B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(D*~(C@B))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100001100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg3_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg3_b6 (
.b({open_n72617,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u975_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u975_o }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1017_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1004_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [6]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
//.LUT1("(~D*C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b0000000000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg3_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_end_dly_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [20],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [12]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [22],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [15]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [23],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [17]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [26],\ethernet_i0/mac_test0/mac_top0/mac_rx0/arp0/arp_rec_destination_ip_addr [18]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_end }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u956_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u955_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_end_dly })); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(200)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(214)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(214)
EG_PHY_LSLICE #(
//.LUTF0("((0@(D*C))*~(~B*~A))"),
//.LUTF1("(~C*~(~B*~D))"),
//.LUTG0("((1@(D*C))*~(~B*~A))"),
//.LUTG1("(~C*~(~B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110000000000000),
.INIT_LUTF1(16'b0000111100001100),
.INIT_LUTG0(16'b0000111011101110),
.INIT_LUTG1(16'b0000111100001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg4_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg4_b2 (
.a({open_n72650,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [1]}),
.e({open_n72652,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [2]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(214)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(224)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b1111110111101100),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b1111110111101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg5_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg5_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [3]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(224)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUT1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110111101100),
.INIT_LUT1(16'b1111110111101100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg5_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg5_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [6]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(224)
EG_PHY_MSLICE #(
//.LUT0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUT1("~(~B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000100100000),
.INIT_LUT1(16'b1111110111101100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg5_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg5_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_frame_data_dly [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [5]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(214)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(224)
EG_PHY_MSLICE #(
//.LUT0("((D@C)*~(~B*~A))"),
//.LUT1("~(A*~((~D*~C))*~(B)+A*(~D*~C)*~(B)+~(A)*(~D*~C)*B+A*(~D*~C)*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111011100000),
.INIT_LUT1(16'b1101110111010001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg5_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg4_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u711_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u712_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u712_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_cnt [3]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(214)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(239)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(239)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(0*~C)*~(~D*B))"),
//.LUTF1("~(~A*~(0*~C)*~(~D*B))"),
//.LUTG0("~(~A*~(1*~C)*~(~D*B))"),
//.LUTG1("~(~A*~(1*~C)*~(~D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101011101110),
.INIT_LUTF1(16'b1010101011101110),
.INIT_LUTG0(16'b1010111111101111),
.INIT_LUTG1(16'b1010111111101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg6_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg6_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u367_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u357_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u350_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u350_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [4]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_data_tmp [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/gmii_txd_tmp [0],\ethernet_i0/mac_test0/gmii_txd_tmp [3]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(239)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(~C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000110011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg6_b1 (
.b({open_n72770,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u350_o }),
.c({open_n72771,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n72773,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u364_o }),
.sr(RSTn_pad),
.q({open_n72791,\ethernet_i0/mac_test0/gmii_txd_tmp [1]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(239)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(153)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(239)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~B*~D)"),
//.LUT1("~(D*~(~C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111111100),
.INIT_LUT1(16'b0000110011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg6_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_data_valid_tmp_reg (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u350_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u334_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u354_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n24 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/gmii_txd_tmp [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_data_valid_tmp })); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(153)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(239)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(239)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(~C*D))"),
//.LUTF1("~(D*~(~C*B))"),
//.LUTG0("~(B*~(~C*D))"),
//.LUTG1("~(D*~(~C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111100110011),
.INIT_LUTF1(16'b0000110011111111),
.INIT_LUTG0(16'b0011111100110011),
.INIT_LUTG1(16'b0000110011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg6_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg6_b7 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u350_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u339_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/crc [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u341_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u349_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n24 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/gmii_txd_tmp [5],\ethernet_i0/mac_test0/gmii_txd_tmp [7]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(239)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(55)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(55)
EG_PHY_MSLICE #(
//.LUT0("~(~(~C*B)*~(D*A))"),
//.LUT1("~(~A*~(~D*C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010111000001100),
.INIT_LUT1(16'b1010101010111010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg7_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/reg7_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n18 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n4_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n13_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n12_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n7_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_end_dly ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/mac_tx_end_dly }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/send_state [4]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx.v(55)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u0|mode0/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u0|mode0/add0/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [0],1'b0}),
.b({1'b1,open_n72854}),
.ce(\ethernet_i0/mac_test0/arp_found ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n72869,\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [8]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [0],open_n72870}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c1 ),
.q({open_n72873,\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [56]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u0|mode0/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u10|mode0/add0/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u0|mode0/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u12|mode0/add0/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u0|mode0/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u14|mode0/add0/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u0|mode0/add0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u15_al_u1149 (
.a({open_n72940,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [15]}),
.b({open_n72941,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c15 ),
.f({open_n72960,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u0|mode0/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u2|mode0/add0/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u0|mode0/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u4|mode0/add0/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u0|mode0/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u6|mode0/add0/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u0|mode0/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/u8|mode0/add0/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/add0/c9 ));
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(136)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(116)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~C*~A*(D@B))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~1*~C*~A*(D@B))"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100000100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/arp_tx_ack_reg|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/mac_tx_req_reg (
.a({open_n73054,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u268_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u267_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [3]}),
.e({open_n73056,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [4]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n10 ,open_n73071}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_arp_tx_ack ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_req })); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(136)
// ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(126)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000100100000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0011000100100000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/ip_tx_ack_reg|ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/reg2_b25 (
.a({open_n73075,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/n26 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u298_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u307_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [4],\ethernet_i0/mac_test0/mac_top0/arp_rec_source_ip_addr [25]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u268_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [25]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n12 ,open_n73093}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_ip_tx_ack ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx0/arp_destination_ip_addr [25]})); // ../rtl/Ethernet/sources_1/mac/tx/arp_tx.v(203)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b9 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [10:9]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [10:9])); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b13 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [13]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [15]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [2]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [4]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [6]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg0_b8 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n17 [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u725_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/timeout [8]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(106)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*D)"),
//.LUTF1("~(~(D*B)*~(C*A))"),
//.LUTG0("~(~C*D)"),
//.LUTG1("~(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b1110110010100000),
.INIT_LUTG0(16'b1111000011111111),
.INIT_LUTG1(16'b1110110010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg1_b0|ethernet_i0/mac_test0/mac_top0/cache0/reg0_b45 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n10 ,open_n73263}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n12 ,open_n73264}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [0],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [45]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [0],\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [0],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [45]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
EG_PHY_MSLICE #(
//.LUT0("~(~C*D)"),
//.LUT1("~(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011111111),
.INIT_LUT1(16'b1110110010100000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg1_b1|ethernet_i0/mac_test0/mac_top0/cache0/reg0_b27 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n10 ,open_n73287}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n12 ,open_n73288}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [1],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [27]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [1],\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [1],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [27]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
EG_PHY_MSLICE #(
//.LUT0("~(~C*D)"),
//.LUT1("~(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011111111),
.INIT_LUT1(16'b1110110010100000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg1_b2|ethernet_i0/mac_test0/mac_top0/cache0/reg0_b28 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n10 ,open_n73307}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n12 ,open_n73308}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [2],\ethernet_i0/mac_test0/mac_top0/cache0/arp_cache [28]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [2],\ethernet_i0/mac_test0/mac_top0/_al_u267_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [2],\ethernet_i0/mac_test0/mac_top0/destination_mac_addr [28]})); // ../rtl/Ethernet/sources_1/mac/arp_cache.v(31)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
EG_PHY_MSLICE #(
//.LUT0("~(~(D*B)*~(C*A))"),
//.LUT1("~(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110110010100000),
.INIT_LUT1(16'b1110110010100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg1_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg1_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n10 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n10 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n12 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [4]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*B)*~(C*A))"),
//.LUTF1("~(~(D*B)*~(C*A))"),
//.LUTG0("~(~(D*B)*~(C*A))"),
//.LUTG1("~(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110010100000),
.INIT_LUTF1(16'b1110110010100000),
.INIT_LUTG0(16'b1110110010100000),
.INIT_LUTG1(16'b1110110010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg1_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg1_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n10 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n10 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n12 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [7]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*B)*~(C*A))"),
//.LUTF1("~(~(D*B)*~(C*A))"),
//.LUTG0("~(~(D*B)*~(C*A))"),
//.LUTG1("~(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110010100000),
.INIT_LUTF1(16'b1110110010100000),
.INIT_LUTG0(16'b1110110010100000),
.INIT_LUTG1(16'b1110110010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg1_b6|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/mac_tx_end_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n10 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n10 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/n12 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_data [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_end }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_data [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_end }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_frame_data [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_end })); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(146)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(48)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(48)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~A*~(~C*B)))"),
//.LUT1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000010101110),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg2_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg2_b3 (
.a({open_n73389,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u331_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/arp_tx_req ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u332_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac_tx_ack }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u300_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [3]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(48)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(48)
// ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(48)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~((D*B))*~(0)+C*(D*B)*~(0)+~(C)*(D*B)*0+C*(D*B)*0))"),
//.LUTF1("(B*~((C*A))*~(D)+B*(C*A)*~(D)+~(B)*(C*A)*D+B*(C*A)*D)"),
//.LUTG0("(~A*~(C*~((D*B))*~(1)+C*(D*B)*~(1)+~(C)*(D*B)*1+C*(D*B)*1))"),
//.LUTG1("(B*~((C*A))*~(D)+B*(C*A)*~(D)+~(B)*(C*A)*D+B*(C*A)*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010100000101),
.INIT_LUTF1(16'b1010000011001100),
.INIT_LUTG0(16'b0001000101010101),
.INIT_LUTG1(16'b1010000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg2_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/reg2_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u865_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1079_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/sel0_b4/B3_0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u865_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u298_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u332_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u298_o }),
.e({open_n73409,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mode0/state [0]})); // ../rtl/Ethernet/sources_1/mac/tx/mac_tx_mode.v(48)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~0*D*C*B))"),
//.LUTF1("(0*D*C*~B*A)"),
//.LUTG0("(A*~(~1*D*C*B))"),
//.LUTG1("(1*D*C*~B*A)"),
.INIT_LUTF0(16'b0010101010101010),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b0010000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1000|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1001 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u999_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u998_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1000_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u962_o }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_udp_len [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [12]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1000_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1001_o }));
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(152)
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(A*(~(B)*C*~(D)+B*C*~(D)+~(B)*~(C)*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0000001010100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1003|ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/icmp_tx_ack_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u936_o ,open_n73451}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/mac_send_end_d0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u265_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1003_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 }),
.q({open_n73468,\ethernet_i0/mac_test0/mac_top0/icmp_tx_ack })); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx_mode.v(152)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(0*C)*~(D*A))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("~(~B*~(1*C)*~(D*A))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111011001100),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1111111011111100),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1005|ethernet_i0/mac_test0/reg8_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/usedw [0],\ethernet_i0/mac_test0/n14_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/usedw [1],\ethernet_i0/mac_test0/sel0_b7/B2 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/usedw [2],\ethernet_i0/mac_test0/n59_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/usedw [3],\ethernet_i0/mac_test0/arp_found }),
.e({open_n73470,\ethernet_i0/mac_test0/write_end }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n1 [0],open_n73485}),
.q({open_n73489,\ethernet_i0/mac_test0/state [7]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(94)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(181)
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*~C*B*~A)"),
//.LUTF1("(B*(~(A)*C*~(D)*~(0)+A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+~(A)*~(C)*~(D)*0+A*~(C)*~(D)*0))"),
//.LUTG0("(~1*D*~C*B*~A)"),
//.LUTG1("(B*(~(A)*C*~(D)*~(1)+A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+~(A)*~(C)*~(D)*1+A*~(C)*~(D)*1))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010000000000),
.INIT_LUTF1(16'b0000010011000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1006|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_rd_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n1 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n1 [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u827_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u827_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_rd ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_rd }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [0]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1006_o ,open_n73505}),
.q({open_n73509,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_rd })); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(181)
EG_PHY_MSLICE #(
//.LUT0("(~0*D*C*B*A)"),
//.LUT1("(~1*D*C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u998_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u998_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1000_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1000_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u962_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u962_o }),
.mi({open_n73522,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [12]}),
.fx({open_n73527,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o }));
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(342)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1012|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_finish_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_9_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u660_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u661_o }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_6_sel_is_2_o ,open_n73547}),
.q({open_n73551,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_finish })); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(342)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(321)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1013|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rd_en_reg (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [12],open_n73552}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n20 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n23 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1013_o ,open_n73566}),
.q({open_n73570,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rd_en })); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(321)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1014|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1011 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_6_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1013_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [4]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [5]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [6]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_9_sel_is_2_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*~(~B*D))"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*~(~B*D))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100000011110000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1017|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u998 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n33 ,open_n73597}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n31 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/ip_send_cnt [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u998_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~B*D))"),
//.LUT1("(C*~(~B*D))"),
.INIT_LUT0(16'b1100000011110000),
.INIT_LUT1(16'b1100000011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1021|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1026 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n33 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n33 }),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 [6:5]),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n31 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n31 }),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [6:5]));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1022|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1031 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1022_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1031_o }));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(A)*~(B)*~(D)*~(0)+A*~(B)*~(D)*~(0)+~(A)*B*~(D)*~(0)+A*~(B)*D*~(0)+~(A)*B*D*~(0)+~(A)*~(B)*~(D)*0+~(A)*B*~(D)*0+~(A)*B*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(C*(~(A)*~(B)*~(D)*~(1)+A*~(B)*~(D)*~(1)+~(A)*B*~(D)*~(1)+A*~(B)*D*~(1)+~(A)*B*D*~(1)+~(A)*~(B)*~(D)*1+~(A)*B*~(D)*1+~(A)*B*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0110000001110000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0100000001010000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1025|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1033_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1024_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [11]}),
.e({open_n73672,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [3]}),
.mi({open_n73674,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [0]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1025_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1034_o }),
.q({open_n73689,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [0]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*~(~B*D))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1100000011110000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1030|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n33 ,open_n73692}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n12_lutinv }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n31 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/n13 }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u667_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(A)*~(B)*~(D)*~(0)+A*~(B)*~(D)*~(0)+~(A)*B*~(D)*~(0)+A*~(B)*D*~(0)+~(A)*B*D*~(0)+~(A)*~(B)*~(D)*0+~(A)*B*~(D)*0+~(A)*B*D*0))"),
//.LUTF1("(C*~(~B*D))"),
//.LUTG0("(C*(~(A)*~(B)*~(D)*~(1)+A*~(B)*~(D)*~(1)+~(A)*B*~(D)*~(1)+A*~(B)*D*~(1)+~(A)*B*D*~(1)+~(A)*~(B)*~(D)*1+~(A)*B*~(D)*1+~(A)*B*D*1))"),
//.LUTG1("(C*~(~B*D))"),
.INIT_LUTF0(16'b0110000001110000),
.INIT_LUTF1(16'b1100000011110000),
.INIT_LUTG0(16'b0100000001010000),
.INIT_LUTG1(16'b1100000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1035|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1038 (
.a({open_n73713,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1037_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n33 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n31 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [10]}),
.e({open_n73716,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1038_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1110101001100010),
.INIT_LUT1(16'b1110011010100010),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1041|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1045 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [8]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1041_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1045_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(A)*~(B)*~(D)*~(0)+A*~(B)*~(D)*~(0)+~(A)*B*~(D)*~(0)+~(A)*~(B)*D*~(0)+~(A)*B*D*~(0)+A*~(B)*~(D)*0+~(A)*B*~(D)*0+~(A)*B*D*0))"),
//.LUT1("(C*(~(A)*~(B)*~(D)*~(1)+A*~(B)*~(D)*~(1)+~(A)*B*~(D)*~(1)+~(A)*~(B)*D*~(1)+~(A)*B*D*~(1)+A*~(B)*~(D)*1+~(A)*B*~(D)*1+~(A)*B*D*1))"),
.INIT_LUT0(16'b0101000001110000),
.INIT_LUT1(16'b0100000001100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1042 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1041_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1041_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [1]}),
.mi({open_n73769,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [9]}),
.fx({open_n73774,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1042_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~B*D))"),
//.LUTF1("(C*~(~B*D))"),
//.LUTG0("(C*~(~B*D))"),
//.LUTG1("(C*~(~B*D))"),
.INIT_LUTF0(16'b1100000011110000),
.INIT_LUTF1(16'b1100000011110000),
.INIT_LUTG0(16'b1100000011110000),
.INIT_LUTG1(16'b1100000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1043|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1047 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n33 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n33 }),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 [1:0]),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n31 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n31 }),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [1:0]));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(A)*~(B)*~(D)*~(0)+A*~(B)*~(D)*~(0)+~(A)*B*~(D)*~(0)+~(A)*~(B)*D*~(0)+~(A)*B*D*~(0)+A*~(B)*~(D)*0+~(A)*B*~(D)*0+~(A)*B*D*0))"),
//.LUT1("(C*(~(A)*~(B)*~(D)*~(1)+A*~(B)*~(D)*~(1)+~(A)*B*~(D)*~(1)+~(A)*~(B)*D*~(1)+~(A)*B*D*~(1)+A*~(B)*~(D)*1+~(A)*B*~(D)*1+~(A)*B*D*1))"),
.INIT_LUT0(16'b0101000001110000),
.INIT_LUT1(16'b0100000001100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1046 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1045_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1045_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [0]}),
.mi({open_n73815,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [8]}),
.fx({open_n73820,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1046_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~B*D))"),
//.LUT1("(~D)"),
.INIT_LUT0(16'b1100000011110000),
.INIT_LUT1(16'b0000000011111111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1084|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1039 (
.b({open_n73825,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n33 }),
.c({open_n73826,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_empty ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n31 }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_empty_neg ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [2]}));
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTG0("(~D)"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1085 (
.d({open_n73855,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_full }),
.f({open_n73873,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_full_neg }));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(649)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~0*D*~C*~B*~A)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~1*D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000100000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u766|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg26_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [0],open_n73879}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [1],open_n73880}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [5]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n148 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [4]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [5],open_n73881}),
.mi({open_n73883,1'b1}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o }),
.q({open_n73898,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_udp_len [3]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(649)
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u767|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u975 (
.b({open_n73901,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u974_o }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u767_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n148 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*C*~B*A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852 (
.a({open_n73922,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o }),
.b({open_n73923,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u767_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~C*~(~B*D))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000110000001111),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u903 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u767_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTG0("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTG0(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u803 (
.b({open_n73972,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [0]}),
.c({open_n73973,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [2]}),
.d({open_n73976,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_rd }),
.f({open_n73994,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u803_o }));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(210)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(~C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u827|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_ready_reg (
.a({open_n74000,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u803_o }),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [4:3]),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [5:4]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u827_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 }),
.q({open_n74021,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_ready })); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(210)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011001010),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b1111110011001010),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u853|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [1]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.e({open_n74022,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [1]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,open_n74037}),
.q({open_n74041,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [1]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~D*~C*~B*~A)"),
.INIT_LUT0(16'b1110001111101111),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u936|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u320 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_rd ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_ack }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ipmode/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_req_tmp }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u936_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u320_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*A*(C@B))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~D*A*(C@B))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000000000101000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000000000101000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u937|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u788 (
.a({open_n74062,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u327_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [4]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [5]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u936_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/upper_tx_ready }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/mux3_b0_sel_is_2_o }));
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(376)
EG_PHY_LSLICE #(
//.LUTF0("(C@D)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(C@D)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111110000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000111111110000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u954|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/gray_counter_reg_al_u18_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0_al_n14 ,open_n74087}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1_al_n15 ,open_n74088}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2_al_n16 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1_al_n15 }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_re_empty_equal_o_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q3_al_n17 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0_al_n14 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_and_and_and__al__o_al_n21 ,open_n74105}),
.q({open_n74109,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1_al_n15 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(376)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
EG_PHY_LSLICE #(
//.LUTF0("(0*~C*~A*~(D*B))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(1*~C*~A*~(D*B))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u962|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.e({open_n74111,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [13]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u962_o ,open_n74126}),
.q({open_n74130,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [13]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*D))"),
//.LUT1("(~C*~B*~D)"),
.INIT_LUT0(16'b0000110011001100),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u963|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u894 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp2 [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp2 [4]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp0 [1]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u963_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n82 [5]}));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*C*B*A)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~1*~D*C*B*A)"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u965|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1008 (
.a({open_n74153,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u964_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u967_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u964_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [2]}),
.e({open_n74156,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u965_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o }));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
EG_PHY_LSLICE #(
//.LUTF0("(0*~C*~A*~(D*B))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(1*~C*~A*~(D*B))"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u966|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b2 (
.a({open_n74177,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o }),
.b({open_n74178,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.e({open_n74180,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u966_o ,open_n74195}),
.q({open_n74199,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u967|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u998 (
.a({open_n74200,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u966_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u966_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [2]}),
.e({open_n74203,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u967_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u998_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u972|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u964 (
.b({open_n74226,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u963_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [8]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u962_o }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u972_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u964_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~B*A)"),
//.LUTF1("(~0*D*C*B*A)"),
//.LUTG0("(~1*~D*~C*~B*A)"),
//.LUTG1("(~1*D*C*B*A)"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u973|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u969 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u969_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u966_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u962_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u963_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u972_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [6]}),
.e(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [8:7]),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u969_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b1111110011000111),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u974|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u850 (
.a({open_n74273,\ethernet_i0/mac_test0/udp_tx_req }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [1]}),
.d({\ethernet_i0/mac_test0/udp_tx_req ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u974_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel2_b0/B2_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
.INIT_LUT0(16'b1000010000100001),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u979 (
.a({open_n74294,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [3]}),
.b({open_n74295,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [6]}),
.c({open_n74296,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [3]}),
.d({open_n74299,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [6]}),
.f({open_n74313,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u979_o }));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0@D))"),
//.LUTF1("~(C@D)"),
//.LUTG0("(C*B*A*~(1@D))"),
//.LUTG1("~(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b1111000000001111),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1111000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u980|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b15 (
.a({open_n74319,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u978_o }),
.b({open_n74320,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u979_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u980_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [5]}),
.e({open_n74321,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [5]}),
.mi({open_n74323,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [15]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u980_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u981_o }),
.q({open_n74338,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [15]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0@C)*~(D*~B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~A*~(1@C)*~(D*~B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000010000000101),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0100000001010000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u982|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u983 (
.a({open_n74339,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u982_o }),
.b({open_n74340,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [11]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [15]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [11]}),
.e({open_n74343,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [15]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u982_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u983_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(D*~C*A))"),
//.LUTF1("(~(~D*B)*~(C*~A))"),
//.LUTG0("(~B*~(D*~C*A))"),
//.LUTG1("(~(~D*B)*~(C*~A))"),
.INIT_LUTF0(16'b0011000100110011),
.INIT_LUTF1(16'b1010111100100011),
.INIT_LUTG0(16'b0011000100110011),
.INIT_LUTG1(16'b1010111100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u984|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u274_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [2]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u984_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u639_o }));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0@C)*~(D@B))"),
//.LUTF1("(~(D*~B)*~(C*~A))"),
//.LUTG0("(A*~(1@C)*~(D@B))"),
//.LUTG1("(~(D*~B)*~(C*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100000000010),
.INIT_LUTF1(16'b1000110010101111),
.INIT_LUTG0(16'b1000000000100000),
.INIT_LUTG1(16'b1000110010101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u985|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u977_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [7]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [9]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [7]}),
.e({open_n74388,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [9]}),
.mi({open_n74390,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u985_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u978_o }),
.q({open_n74405,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [3]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u986|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u503 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u984_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u985_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [1]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u983_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u502_o }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u986_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n93_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*C*B*A)"),
//.LUT1("(~1*~D*C*B*A)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u990 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u803_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u803_o }),
.b({\ethernet_i0/mac_test0/mac_top0/upper_data_req ,\ethernet_i0/mac_test0/mac_top0/upper_data_req }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [3]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [4]}),
.mi({open_n74440,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [5]}),
.fx({open_n74445,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u990_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u993|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u995 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [2]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [4]}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [5]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u993_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u995_o }));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u994|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b10 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [6],open_n74472}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [7],open_n74473}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [10]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u994_o ,open_n74487}),
.q({open_n74491,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [10]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u996|ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u784 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u992_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u780_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u993_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u781_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u994_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u782_o }),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u995_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u783_o }),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n3_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u999|_al_u276 (
.b({open_n74518,_al_u268_o}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [15],_al_u270_o}),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [14],_al_u266_o}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u999_o ,_al_u276_o}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/ucin_al_u1126"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/u11_al_u1129 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/ucin_al_u1126"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/u15_al_u1130 (
.a({open_n74561,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [15]}),
.c(2'b00),
.d({open_n74566,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/c15 ),
.f({open_n74583,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [15]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/ucin_al_u1126"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/u3_al_u1127 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/ucin_al_u1126"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/u7_al_u1128 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/ucin_al_u1126"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/ucin_al_u1126 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [1],open_n74642}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add0/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [0]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u0|add1/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u0|add1/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0],1'b0}),
.b({1'b1,open_n74645}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [0],open_n74665}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u0|add1/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u10|add1/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u0|add1/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u12|add1/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u0|add1/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u14|add1/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u0|add1/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u15_al_u1131 (
.a({open_n74736,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [15]}),
.b({open_n74737,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c15 ),
.f({open_n74756,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u0|add1/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u2|add1/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u0|add1/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u4|add1/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u0|add1/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u6|add1/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u0|add1/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/u8|add1/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add1/c9 ));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/ucin_al_u1088"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/u11_al_u1091 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [11]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [12]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/ucin_al_u1088"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/u15_al_u1092 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [7]}),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [15]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [16]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [15]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c19 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/ucin_al_u1088"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/u19_al_u1093 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [19]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [22],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [20]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c19 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [19]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c23 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [22],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [20]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/ucin_al_u1088"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/u23_al_u1094 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [25],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [23]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [26],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [24]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c23 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [25],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [23]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c27 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [26],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [24]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/ucin_al_u1088"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/u27_al_u1095 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [27]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [28]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c27 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [27]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c31 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [28]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/ucin_al_u1088"),
//.R_POSITION("X0Y4Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/u31_al_u1096 (
.a({open_n74940,1'b0}),
.c(2'b00),
.d({open_n74945,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [31]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c31 ),
.f({open_n74962,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [31]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/ucin_al_u1088"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/u3_al_u1089 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [3]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [4]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/ucin_al_u1088"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/u7_al_u1090 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [7]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [8]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/ucin_al_u1088"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/ucin_al_u1088 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [0]}),
.c(2'b00),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [1],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [0]}),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [6:5]),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [1],open_n75017}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add10/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [0]}),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [6:5]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u0|add12/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u0|add12/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],1'b0}),
.b({1'b1,open_n75018}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [0],open_n75038}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u0|add12/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u10|add12/u9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u0|add12/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u12|add12/u11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u0|add12/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u14|add12/u13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u0|add12/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u15_al_u1132 (
.a({open_n75109,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [15]}),
.b({open_n75110,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c15 ),
.f({open_n75129,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [15]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u0|add12/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u2|add12/u1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [2:1]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u0|add12/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u4|add12/u3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [4:3]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u0|add12/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u6|add12/u5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u0|add12/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/u8|add12/u7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add12/c9 ));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/ucin_al_u1097"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/u11_al_u1100 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [12]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [11]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [12]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/ucin_al_u1097"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/u15_al_u1101 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [15]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [16]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [15]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [16]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [15]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c19 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/ucin_al_u1097"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/u19_al_u1102 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [19]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [22],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [20]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c19 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [19]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c23 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [22],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [20]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/ucin_al_u1097"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/u23_al_u1103 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [25],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [23]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [26],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [24]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c23 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [25],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [23]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c27 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [26],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [24]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/ucin_al_u1097"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/u27_al_u1104 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [27]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [28]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c27 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [27]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c31 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [28]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/ucin_al_u1097"),
//.R_POSITION("X0Y4Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/u31_al_u1105 (
.a({open_n75313,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [31]}),
.c(2'b00),
.d({open_n75318,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c31 ),
.f({open_n75335,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [31]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/ucin_al_u1097"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/u3_al_u1098 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [3]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [4]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/ucin_al_u1097"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/u7_al_u1099 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [8]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [7]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [8]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/ucin_al_u1097"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/ucin_al_u1097 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [1],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [1],open_n75394}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add13/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/ucin_al_u1111"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/u11_al_u1114 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [12]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [27]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [28]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/ucin_al_u1111"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/u15_al_u1115 (
.a({open_n75415,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [15]}),
.c(2'b00),
.d({open_n75420,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [31]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/c15 ),
.f({open_n75437,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [15]}),
.fx({open_n75439,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n124 }));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/ucin_al_u1111"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/u3_al_u1112 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [4]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [19]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [22],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [20]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/ucin_al_u1111"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/u7_al_u1113 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [8]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [25],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [23]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [26],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [24]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/ucin_al_u1111"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/ucin_al_u1111 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [17],1'b1}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [18],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [16]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [1],open_n75495}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add14/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [0]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4/u0|add7_4/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4/u0|add7_4/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp2 [3],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp0 [1],open_n75498}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n82 [7],open_n75518}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4/c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4/u0|add7_4/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4/u2|add7_4/u1 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp2 [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp0 [1],1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n82 [9:8]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4/u0|add7_4/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4/ucout|add7_4/u3 (
.a({open_n75545,1'b0}),
.b({open_n75546,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp0 [1]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4_co ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n82 [10]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/ucin_al_u1106"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/u11_al_u1109 (
.a(2'b00),
.b(2'b00),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [11]}),
.e({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 [0]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/ucin_al_u1106"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/u15_al_u1110 (
.a({open_n75588,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 [0]}),
.b({open_n75589,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 [0]}),
.c(2'b00),
.d({open_n75592,1'b0}),
.e({open_n75593,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2_co ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [15]}),
.fx({open_n75609,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/ucin_al_u1106"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/u3_al_u1107 (
.a(2'b00),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [3]}),
.e({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 [0]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/ucin_al_u1106"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/u7_al_u1108 (
.a(2'b00),
.b({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 [0]}),
.c(2'b00),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [7]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [8]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/ucin_al_u1106"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/ucin_al_u1106 (
.a(2'b00),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 [0]}),
.c(2'b00),
.d(2'b01),
.e({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [1],open_n75665}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [0]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u0|add9_2/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u0|add9_2/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp2 [3],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [3],open_n75668}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [0],open_n75688}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u0|add9_2/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u10|add9_2/u9 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [13:12]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c9 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [10:9]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u0|add9_2/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u12|add9_2/u11 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [15:14]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c11 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [12:11]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u0|add9_2/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u14|add9_2/u13 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [17:16]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c13 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [14:13]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u0|add9_2/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u2|add9_2/u1 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp2 [3]}),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [5:4]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u0|add9_2/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u4|add9_2/u3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp2 [3],1'b0}),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [7:6]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c3 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [4:3]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u0|add9_2/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u6|add9_2/u5 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp2 [3]}),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [9:8]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c5 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [6:5]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u0|add9_2/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u8|add9_2/u7 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [11:10]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c7 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [8:7]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/u0|add9_2/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/ucout_al_u1133 (
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add9_2/c15 ),
.f({open_n75869,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [15]}));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(423)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(616)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~(C*B))"),
//.LUT1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_finish_reg|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg11_b2 (
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u969_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u965_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1001_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_finish ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(423)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(423)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(638)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*~B))"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("(~D*~(C*~B))"),
//.LUTG1("(D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011001111),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0000000011001111),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_wr_reg|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg11_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u767_o ,open_n75895}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel2_b0/B2_0 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 ,open_n75913}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_wr ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [0]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(423)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(73)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(191)
EG_PHY_LSLICE #(
//.LUTF0("(~D*A*(~(B)*C*~(0)+B*C*~(0)+~(B)*~(C)*0))"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("(~D*A*(~(B)*C*~(1)+B*C*~(1)+~(B)*~(C)*1))"),
//.LUTG1("(D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010100000),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ip_tx_req_reg|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg28_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u827_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u827_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_rd ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_ack }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_rd }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [0]}),
.e({open_n75918,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ,open_n75933}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_req_tmp ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(73)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_0|lt1_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_0|lt1_cin (
.a(2'b00),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0],open_n75937}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_0|lt1_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_10|lt1_9 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [10:9]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c9 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_0|lt1_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_12|lt1_11 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [12:11]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c11 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_0|lt1_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_14|lt1_13 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [14:13]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c13 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_0|lt1_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_2|lt1_1 (
.a(2'b10),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2:1]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c1 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_0|lt1_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_4|lt1_3 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [4:3]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c3 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_0|lt1_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_6|lt1_5 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [6:5]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c5 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_0|lt1_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_8|lt1_7 (
.a(2'b00),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [8:7]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c7 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_0|lt1_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_cout|lt1_15 (
.a(2'b00),
.b({1'b1,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [15]}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt1_c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n20 ,open_n76149}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_0|lt2_2_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_0|lt2_2_cin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [0],open_n76155}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_0|lt2_2_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_10|lt2_2_9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [10:9]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [10:9]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c9 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_0|lt2_2_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_12|lt2_2_11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [12:11]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [12:11]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c11 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_0|lt2_2_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_14|lt2_2_13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [14:13]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [14:13]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c13 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_0|lt2_2_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_16|lt2_2_15 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [15]}),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [16:15]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c15 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c17 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_0|lt2_2_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_2|lt2_2_1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2:1]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [2:1]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c1 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_0|lt2_2_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_4|lt2_2_3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [4:3]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [4:3]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c3 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_0|lt2_2_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_6|lt2_2_5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [6:5]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [6:5]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c5 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_0|lt2_2_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_8|lt2_2_7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [8:7]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [8:7]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c7 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_0|lt2_2_cin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_cout_al_u1134 (
.a({open_n76373,1'b0}),
.b({open_n76374,1'b1}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt2_2_c17 ),
.f({open_n76393,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n23 }));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_0|lt3_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_0|lt3_cin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [0],1'b0}),
.b({1'b0,open_n76399}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [9:8]),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c1 ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [9:8]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_0|lt3_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_10|lt3_9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c9 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_0|lt3_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_12|lt3_11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c11 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_0|lt3_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_14|lt3_13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c13 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_0|lt3_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_2|lt3_1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [2:1]),
.b(2'b01),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c1 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_0|lt3_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_4|lt3_3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [4:3]),
.b(2'b11),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c3 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_0|lt3_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_6|lt3_5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c5 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_0|lt3_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_8|lt3_7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c7 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_0|lt3_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_cout|lt3_15 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [15]}),
.b(2'b10),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt3_c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 ,open_n76604}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_0|lt4_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_0|lt4_cin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [0],1'b0}),
.b({1'b0,open_n76610}),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata [1:0]),
.sr(RSTn_pad),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c1 ),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 [1:0]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_0|lt4_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_10|lt4_9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [10:9]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c9 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_0|lt4_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_12|lt4_11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [12:11]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c11 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_0|lt4_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_14|lt4_13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [14:13]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c13 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_0|lt4_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_2|lt4_1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [2:1]),
.b(2'b01),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c1 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_0|lt4_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_4|lt4_3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [4:3]),
.b(2'b11),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c3 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_0|lt4_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_6|lt4_5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [6:5]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c5 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_0|lt4_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_8|lt4_7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [8:7]),
.b(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c7 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_0|lt4_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_cout|lt4_15 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [15]}),
.b(2'b10),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt4_c15 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n31 ,open_n76816}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_0|lt5_2_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_0|lt5_2_cin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0],1'b1}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [0],open_n76822}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_0|lt5_2_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_10|lt5_2_9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [10:9]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [10:9]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c9 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c11 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_0|lt5_2_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_12|lt5_2_11 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [12:11]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [12:11]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c11 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c13 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_0|lt5_2_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_14|lt5_2_13 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [14:13]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [14:13]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c13 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c15 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_0|lt5_2_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_16|lt5_2_15 (
.a({1'b0,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [15]}),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [16:15]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c15 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c17 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_0|lt5_2_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_2|lt5_2_1 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2:1]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [2:1]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c1 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_0|lt5_2_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_4|lt5_2_3 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [4:3]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [4:3]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c3 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_0|lt5_2_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_6|lt5_2_5 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [6:5]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [6:5]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c5 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c7 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_0|lt5_2_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_8|lt5_2_7 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [8:7]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [8:7]),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c7 ),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c9 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_0|lt5_2_cin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_cout_al_u1135 (
.a({open_n77040,1'b0}),
.b({open_n77041,1'b1}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/lt5_2_c17 ),
.f({open_n77060,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n33 }));
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(376)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(376)
EG_PHY_LSLICE #(
//.LUTF0("(B*(D*~((~0*~C))*~(A)+D*(~0*~C)*~(A)+~(D)*(~0*~C)*A+D*(~0*~C)*A))"),
//.LUTF1("(B*(D*~((~0*~C))*~(A)+D*(~0*~C)*~(A)+~(D)*(~0*~C)*A+D*(~0*~C)*A))"),
//.LUTG0("(B*(D*~((~1*~C))*~(A)+D*(~1*~C)*~(A)+~(D)*(~1*~C)*A+D*(~1*~C)*A))"),
//.LUTG1("(B*(D*~((~1*~C))*~(A)+D*(~1*~C)*~(A)+~(D)*(~1*~C)*A+D*(~1*~C)*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100110000001000),
.INIT_LUTF1(16'b0100110000001000),
.INIT_LUTG0(16'b0100010000000000),
.INIT_LUTG1(16'b0100010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg10_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg10_b1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1046_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1042_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [1]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1031_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1031_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [1]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(376)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(376)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(376)
EG_PHY_LSLICE #(
//.LUTF0("(B*(D*~((~0*~C))*~(A)+D*(~0*~C)*~(A)+~(D)*(~0*~C)*A+D*(~0*~C)*A))"),
//.LUTF1("(B*(D*~((~0*~C))*~(A)+D*(~0*~C)*~(A)+~(D)*(~0*~C)*A+D*(~0*~C)*A))"),
//.LUTG0("(B*(D*~((~1*~C))*~(A)+D*(~1*~C)*~(A)+~(D)*(~1*~C)*A+D*(~1*~C)*A))"),
//.LUTG1("(B*(D*~((~1*~C))*~(A)+D*(~1*~C)*~(A)+~(D)*(~1*~C)*A+D*(~1*~C)*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100110000001000),
.INIT_LUTF1(16'b0100110000001000),
.INIT_LUTG0(16'b0100010000000000),
.INIT_LUTG1(16'b0100010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg10_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg10_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1038_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1034_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [3]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1022_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1031_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [3]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(376)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(376)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(376)
EG_PHY_LSLICE #(
//.LUTF0("(B*(D*~((0*~C))*~(A)+D*(0*~C)*~(A)+~(D)*(0*~C)*A+D*(0*~C)*A))"),
//.LUTF1("(B*(D*~((~0*~C))*~(A)+D*(~0*~C)*~(A)+~(D)*(~0*~C)*A+D*(~0*~C)*A))"),
//.LUTG0("(B*(D*~((1*~C))*~(A)+D*(1*~C)*~(A)+~(D)*(1*~C)*A+D*(1*~C)*A))"),
//.LUTG1("(B*(D*~((~1*~C))*~(A)+D*(~1*~C)*~(A)+~(D)*(~1*~C)*A+D*(~1*~C)*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010000000000),
.INIT_LUTF1(16'b0100110000001000),
.INIT_LUTG0(16'b0100110000001000),
.INIT_LUTG1(16'b0100010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg10_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg10_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1029_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1025_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [5]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1031_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [5]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(376)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(376)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(376)
EG_PHY_LSLICE #(
//.LUTF0("(B*(D*~((0*~C))*~(A)+D*(0*~C)*~(A)+~(D)*(0*~C)*A+D*(0*~C)*A))"),
//.LUTF1("(B*(D*~((~0*~C))*~(A)+D*(~0*~C)*~(A)+~(D)*(~0*~C)*A+D*(~0*~C)*A))"),
//.LUTG0("(B*(D*~((1*~C))*~(A)+D*(1*~C)*~(A)+~(D)*(1*~C)*A+D*(1*~C)*A))"),
//.LUTG1("(B*(D*~((~1*~C))*~(A)+D*(~1*~C)*~(A)+~(D)*(~1*~C)*A+D*(~1*~C)*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010000000000),
.INIT_LUTF1(16'b0100110000001000),
.INIT_LUTG0(16'b0100110000001000),
.INIT_LUTG1(16'b0100010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg10_b6|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg10_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_0_sel_is_2_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sel1_b0_def_0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1020_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1016_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n35 [7]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1022_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp_tx_data [7]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(376)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(627)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(423)
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*C*B*A)"),
//.LUTF1("~(~B*~(C*~D))"),
//.LUTG0("(~1*D*C*B*A)"),
//.LUTG1("~(~B*~(C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1100110011111100),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1100110011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg11_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_ram_data_req_reg (
.a({open_n77146,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u965_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n148 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u967_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.e({open_n77148,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [1],\ethernet_i0/mac_test0/udp_ram_data_req })); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(627)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(423)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(423)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~(~C*B))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100001100),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg11_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg11_b4 (
.b({open_n77170,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_finish ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_finish }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [5:4])); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(423)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTF1("~(~(C*~B)*~(D)*~(A)+~(C*~B)*D*~(A)+~(~(C*~B))*D*A+~(C*~B)*D*A)"),
//.LUTG0("((C*~B)*~(D)*~(A)+(C*~B)*D*~(A)+~((C*~B))*D*A+(C*~B)*D*A)"),
//.LUTG1("~(~(C*~B)*~(D)*~(A)+~(C*~B)*D*~(A)+~(~(C*~B))*D*A+~(C*~B)*D*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101000010000),
.INIT_LUTF1(16'b0001000010111010),
.INIT_LUTG0(16'b1011101000010000),
.INIT_LUTG1(16'b0001000010111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg14_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg14_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp2 [4:3]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_udp_len [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_udp_len [3]}),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp2 [4:3])); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_MSLICE #(
//.LUT0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUT1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011000110100000),
.INIT_LUT1(16'b1011000110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg18_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg18_b11 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n82 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add7_4_co }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [11]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [11]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("((0*~B)*~((D@C))*~(A)+(0*~B)*(D@C)*~(A)+~((0*~B))*(D@C)*A+(0*~B)*(D@C)*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((1*~B)*~((D@C))*~(A)+(1*~B)*(D@C)*~(A)+~((1*~B))*(D@C)*A+(1*~B)*(D@C)*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b0001101110110001),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg18_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg18_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n82 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp0 [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp2 [3]}),
.e({open_n77230,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [3]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_MSLICE #(
//.LUT0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUT1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011000110100000),
.INIT_LUT1(16'b1011000110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg18_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg18_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n82 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n82 [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [8]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg18_b9|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg17_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n82 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp0 [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp6 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp5 [0]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b0 (
.a({open_n77290,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({open_n77291,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({open_n77292,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n77294,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [0]}),
.sr(RSTn_pad),
.q({open_n77316,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [0]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [7]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b11 (
.a({open_n77339,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({open_n77340,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({open_n77341,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n77343,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [11]}),
.sr(RSTn_pad),
.q({open_n77365,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [11]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_MSLICE #(
//.LUT0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUT1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011000110100000),
.INIT_LUT1(16'b1011000110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [13]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [13]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_MSLICE #(
//.LUT0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUT1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011000110100000),
.INIT_LUT1(16'b1011000110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b15 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [15]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [15]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b16|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b17 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [16],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/add8_2_co }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [16],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [17]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [16],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [17]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_MSLICE #(
//.LUT0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUT1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011000110100000),
.INIT_LUT1(16'b1011000110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [4]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_MSLICE #(
//.LUT0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUT1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011000110100000),
.INIT_LUT1(16'b1011000110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [6]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg19_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n84 [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [9]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b12 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [12]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [12]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b14 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [14]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b3 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [3]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b5 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [5]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [5]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg1_b9 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n18 [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/timeout [9]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(224)
EG_PHY_MSLICE #(
//.LUT0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011000110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b10 (
.a({open_n77644,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({open_n77645,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({open_n77646,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n77648,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [10]}),
.sr(RSTn_pad),
.q({open_n77666,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [10]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_MSLICE #(
//.LUT0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUT1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011000110100000),
.INIT_LUT1(16'b1011000110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b12 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [12]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [12]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [11]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [14]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b15|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b16 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [16]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [16]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_MSLICE #(
//.LUT0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUT1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011000110100000),
.INIT_LUT1(16'b1011000110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b17|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b18 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [18]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [18]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_MSLICE #(
//.LUT0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUT1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011000110100000),
.INIT_LUT1(16'b1011000110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_MSLICE #(
//.LUT0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUT1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011000110100000),
.INIT_LUT1(16'b1011000110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp7 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [0]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_MSLICE #(
//.LUT0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUT1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011000110100000),
.INIT_LUT1(16'b1011000110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [5]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b6|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [7]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTF1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG0("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
//.LUTG1("((D*~B)*~(C)*~(A)+(D*~B)*C*~(A)+~((D*~B))*C*A+(D*~B)*C*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000110100000),
.INIT_LUTF1(16'b1011000110100000),
.INIT_LUTG0(16'b1011000110100000),
.INIT_LUTG1(16'b1011000110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg20_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n87_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n14 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp8 [9]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(512)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b0 (
.a({open_n77845,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({open_n77846,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({open_n77847,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n77848,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({open_n77849,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [0]}),
.sr(RSTn_pad),
.q({open_n77869,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [0]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b7 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [7]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [7]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b12 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [12]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [12]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [12]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [14]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [14]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b15|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b16 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [16]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [16]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [16]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b17|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b18 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [18]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [18]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [18]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b19|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b20 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [20]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [20]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [20]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b21|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b22 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [22]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [22]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [22]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b24|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b25 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [24],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [25]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [24],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [25]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [24],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [25]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b26|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b27 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [26],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [27]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [26],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [27]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [26],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [27]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b28|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b29 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [28],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [29]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [28],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [29]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [28],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [29]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b30|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b31 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [31]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [31]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [30],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [31]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [4]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [4]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [4]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [6]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1010000000000000),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg21_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u769_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u770_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n106 [9]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/and_Nck_state[5]_Nck_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ck_state [2]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [9]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(553)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b0 (
.c({open_n78159,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n78161,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({open_n78179,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [0]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b7 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [7]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b12 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [12]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [12]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b14 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [14]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b15|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b16 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [16]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [16]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b17|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b18 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [18]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [18]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b19|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b20 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [20]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [20]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b21|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b22 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [22]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [21],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [22]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b23|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b24 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [23],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [24]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [23],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [24]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b25|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b26 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [25],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [26]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [25],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [26]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b27|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b28 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [27],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [28]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [27],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [28]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b29|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b30 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [30]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [29],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [30]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [4]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [6]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg22_b9 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_tmp9 [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n63_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_buf [9]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(568)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
EG_PHY_LSLICE #(
//.LUTF0("(0*~C*~A*~(D*B))"),
//.LUTF1("(0*~C*~A*~(D*B))"),
//.LUTG0("(1*~C*~A*~(D*B))"),
//.LUTG1("(1*~C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b9 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.e(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [10:9]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [10:9])); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
EG_PHY_LSLICE #(
//.LUTF0("(0*~C*~A*~(D*B))"),
//.LUTF1("(0*~C*~A*~(D*B))"),
//.LUTG0("(1*~C*~A*~(D*B))"),
//.LUTG1("(1*~C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b12 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [12]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [12]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
EG_PHY_LSLICE #(
//.LUTF0("(0*~C*~A*~(D*B))"),
//.LUTF1("(0*~C*~A*~(D*B))"),
//.LUTG0("(1*~C*~A*~(D*B))"),
//.LUTG1("(1*~C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b15 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [15]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [15]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
EG_PHY_LSLICE #(
//.LUTF0("(0*~C*~A*~(D*B))"),
//.LUTF1("(0*~C*~A*~(D*B))"),
//.LUTG0("(1*~C*~A*~(D*B))"),
//.LUTG1("(1*~C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.e(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [1:0]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1:0])); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
EG_PHY_LSLICE #(
//.LUTF0("(0*~C*~A*~(D*B))"),
//.LUTF1("(0*~C*~A*~(D*B))"),
//.LUTG0("(1*~C*~A*~(D*B))"),
//.LUTG1("(1*~C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.e(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [4:3]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [4:3])); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
EG_PHY_LSLICE #(
//.LUTF0("(0*~C*~A*~(D*B))"),
//.LUTF1("(0*~C*~A*~(D*B))"),
//.LUTG0("(1*~C*~A*~(D*B))"),
//.LUTG1("(1*~C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [6]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
EG_PHY_LSLICE #(
//.LUTF0("(0*~C*~A*~(D*B))"),
//.LUTF1("(0*~C*~A*~(D*B))"),
//.LUTG0("(1*~C*~A*~(D*B))"),
//.LUTG1("(1*~C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg23_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1009_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n51 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1050_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u852_o }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n118 [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [8]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(578)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011001010),
.INIT_LUTF1(16'b0000110011001010),
.INIT_LUTG0(16'b1111110011001010),
.INIT_LUTG1(16'b1111110011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b2 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [2]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [2]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011001010),
.INIT_LUTF1(16'b0000110011001010),
.INIT_LUTG0(16'b1111110011001010),
.INIT_LUTG1(16'b1111110011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b9 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [10:9]),
.b(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [10:9]),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.e(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [10:9]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [10:9])); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011001010),
.INIT_LUTF1(16'b0000110011001010),
.INIT_LUTG0(16'b1111110011001010),
.INIT_LUTG1(16'b1111110011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b14 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [14]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [14]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [14]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011001010),
.INIT_LUTF1(16'b0000110011001010),
.INIT_LUTG0(16'b1111110011001010),
.INIT_LUTG1(16'b1111110011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b13 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [13]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [13]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [13]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [13]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011001010),
.INIT_LUTF1(16'b0000110011001010),
.INIT_LUTG0(16'b1111110011001010),
.INIT_LUTG1(16'b1111110011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b16|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b15 (
.a(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [16:15]),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n124 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [15]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.e(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [16:15]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [16:15])); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001000000010),
.INIT_LUT1(16'b1100001000000010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b17|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b21 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [21]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [21]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [17],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [21]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001000000010),
.INIT_LUTG0(16'b1100001000000010),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b18 (
.a({open_n78794,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [18]}),
.b({open_n78795,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.c({open_n78796,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n78797,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [18]}),
.sr(RSTn_pad),
.q({open_n78819,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [18]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001000000010),
.INIT_LUTF1(16'b1100001000000010),
.INIT_LUTG0(16'b1100001000000010),
.INIT_LUTG1(16'b1100001000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b19|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b25 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [25]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [25]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [25]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001000000010),
.INIT_LUT1(16'b1100001000000010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b20|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b22 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [20],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [22]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [20],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [22]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [20],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [22]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001000000010),
.INIT_LUT1(16'b1100001000000010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b23|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b29 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [23],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [29]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [23],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [29]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [23],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [29]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001000000010),
.INIT_LUTF1(16'b1100001000000010),
.INIT_LUTG0(16'b1100001000000010),
.INIT_LUTG1(16'b1100001000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b24|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b26 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [24],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [26]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [24],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [26]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [24],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [26]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001000000010),
.INIT_LUTF1(16'b1100001000000010),
.INIT_LUTG0(16'b1100001000000010),
.INIT_LUTG1(16'b1100001000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b27|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b31 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [27],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [31]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [27],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [31]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [27],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [31]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001000000010),
.INIT_LUT1(16'b1100001000000010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b28|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b30 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [28],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [30]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [28],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [30]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [28],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [30]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011001010),
.INIT_LUTF1(16'b0000110011001010),
.INIT_LUTG0(16'b1111110011001010),
.INIT_LUTG1(16'b1111110011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b6 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [6]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [6]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [6]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011001010),
.INIT_LUTF1(16'b0000110011001010),
.INIT_LUTG0(16'b1111110011001010),
.INIT_LUTG1(16'b1111110011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [5]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [5]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [5]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011001010),
.INIT_LUTF1(16'b0000110011001010),
.INIT_LUTG0(16'b1111110011001010),
.INIT_LUTG1(16'b1111110011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg24_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n122 [8]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n125 [8]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [0]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/mux39_b0_sel_is_3_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_cnt [1]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [8]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(590)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b2 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b9 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [10:9]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [10:9])); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b0000000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b15 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [15]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [15]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b0000000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b14 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [14]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b13 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [13]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [13]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b0000000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b6 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [6]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b5 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [5]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [5]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b0000000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg25_b8 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n65 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/check_out [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [8]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(605)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b10 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [10]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [10]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [15]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [15]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b13 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [13]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b14|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b7 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [7]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [4]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b6 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [6]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b8|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg27_b9 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checkout_buf [9]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n66 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [9]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(657)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(73)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(73)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*C)*~(~0*B*~A))"),
//.LUTF1("(~C*~B*~(D*~(~0*A)))"),
//.LUTG0("~(~(D*C)*~(~1*B*~A))"),
//.LUTG1("(~C*~B*~(D*~(~1*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111010001000100),
.INIT_LUTF1(16'b0000001000000011),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg28_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg28_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n3_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n3_lutinv }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1003_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1006_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip_tx_ack }),
.e({\ethernet_i0/mac_test0/mac_top0/upper_data_req ,\ethernet_i0/mac_test0/mac_top0/upper_data_req }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [3]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(73)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(73)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(73)
EG_PHY_LSLICE #(
//.LUTF0("(0*~((C*B*A))*~(D)+0*(C*B*A)*~(D)+~(0)*(C*B*A)*D+0*(C*B*A)*D)"),
//.LUTF1("~(~0*~(D*~(C*B*A)))"),
//.LUTG0("(1*~((C*B*A))*~(D)+1*(C*B*A)*~(D)+~(1)*(C*B*A)*D+1*(C*B*A)*D)"),
//.LUTG1("~(~1*~(D*~(C*B*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0111111100000000),
.INIT_LUTG0(16'b1000000011111111),
.INIT_LUTG1(16'b1111111111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg28_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg28_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u981_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u981_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u986_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u986_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u989_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u989_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u990_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1003_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/state [5]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(73)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg2_b0 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n79442,\ethernet_i0/mac_test0/ram_wr_data [0]}),
.sr(RSTn_pad),
.q({open_n79448,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [0]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg2_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg2_b2 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_024 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_025 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_024 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_025 }),
.c({\ethernet_i0/mac_test0/_al_u485_o ,\ethernet_i0/mac_test0/_al_u442_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({\ethernet_i0/mac_test0/ram_wr_data [1],\ethernet_i0/mac_test0/ram_wr_data [2]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u486_o ,\ethernet_i0/mac_test0/_al_u443_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~(~D*B)*~(~C*A)))"),
//.LUTF1("(0*~(~(~D*B)*~(~C*A)))"),
//.LUTG0("(1*~(~(~D*B)*~(~C*A)))"),
//.LUTG1("(1*~(~(~D*B)*~(~C*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000101011001110),
.INIT_LUTG1(16'b0000101011001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg2_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg2_b5 (
.a({\ethernet_i0/mac_test0/_al_u388_o ,\ethernet_i0/mac_test0/_al_u345_o }),
.b({\ethernet_i0/mac_test0/_al_u393_o ,\ethernet_i0/mac_test0/_al_u350_o }),
.c({\ethernet_i0/mac_test0/_al_u398_o ,\ethernet_i0/mac_test0/_al_u355_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/_al_u403_o ,\ethernet_i0/mac_test0/_al_u360_o }),
.e({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/bufferA_B }),
.mi({\ethernet_i0/mac_test0/ram_wr_data [4],\ethernet_i0/mac_test0/ram_wr_data [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u404_o ,\ethernet_i0/mac_test0/_al_u361_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [5]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(620)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTF1("(~0*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTG0("(~1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
//.LUTG1("(~1*~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001101010101),
.INIT_LUTF1(16'b1111001101010101),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg2_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_al_u28_b0 (
.a({\ethernet_i0/mac_test0/_al_u486_o ,\ethernet_i0/mac_test0/_al_u443_o }),
.b({\ethernet_i0/mac_test0/_al_u487_o ,\ethernet_i0/mac_test0/_al_u444_o }),
.c({\ethernet_i0/mac_test0/_al_u488_o ,\ethernet_i0/mac_test0/_al_u445_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.e({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.mi({\ethernet_i0/mac_test0/ram_wr_data [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g0 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u489_o ,\ethernet_i0/mac_test0/_al_u446_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g0 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(620)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg3_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg3_b2 (
.b({\FM_HW/FM_RSSI_SCAN/IdataN [5],\FM_HW/FM_RSSI_SCAN/IdataN [6]}),
.c({\FM_HW/FM_RSSI_SCAN/IdataN [7],\FM_HW/FM_RSSI_SCAN/IdataN [7]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\FM_HW/FM_RSSI_SCAN/multlII/n1 [5],\FM_HW/FM_RSSI_SCAN/multlII/n1 [6]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [2]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_RSSI_SCAN/multlII/n2 [5],\FM_HW/FM_RSSI_SCAN/multlII/n2 [6]}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg3_b3 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({open_n79538,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [3]}),
.sr(RSTn_pad),
.q({open_n79544,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [3]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg3_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg3_b7 (
.c({\FM_HW/Channel [1],\FM_HW/Channel [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d0 [7]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ,\FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_wr_data_d1 [7]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(290)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(305)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(305)
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(A)*~(B)*~(D)*~(0)+A*~(B)*~(D)*~(0)+~(A)*B*~(D)*~(0)+A*~(B)*D*~(0)+~(A)*B*D*~(0)+~(A)*~(B)*~(D)*0+~(A)*B*~(D)*0+~(A)*B*D*0))"),
//.LUTF1("(C*(~(A)*~(B)*~(D)*~(0)+A*~(B)*~(D)*~(0)+~(A)*B*~(D)*~(0)+A*~(B)*D*~(0)+~(A)*B*D*~(0)+~(A)*~(B)*~(D)*0+~(A)*B*~(D)*0+~(A)*B*D*0))"),
//.LUTG0("(C*(~(A)*~(B)*~(D)*~(1)+A*~(B)*~(D)*~(1)+~(A)*B*~(D)*~(1)+A*~(B)*D*~(1)+~(A)*B*D*~(1)+~(A)*~(B)*~(D)*1+~(A)*B*~(D)*1+~(A)*B*D*1))"),
//.LUTG1("(C*(~(A)*~(B)*~(D)*~(1)+A*~(B)*~(D)*~(1)+~(A)*B*~(D)*~(1)+A*~(B)*D*~(1)+~(A)*B*D*~(1)+~(A)*~(B)*~(D)*1+~(A)*B*~(D)*1+~(A)*B*D*1))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0110000001110000),
.INIT_LUTF1(16'b0110000001110000),
.INIT_LUTG0(16'b0100000001010000),
.INIT_LUTG1(16'b0100000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg4_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg4_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1019_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1028_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [12]}),
.e({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [4]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1020_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1029_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 [3]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(305)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(305)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(305)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg4_b6|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg4_b7 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata_d0 [7]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(305)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b11 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [12:11]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [12:11])); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b14 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [14]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [14]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b1 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [2:1]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2:1])); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(179)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/upper_data_req_reg (
.a({open_n79681,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/sel1_b0_def_0_sel_is_2_o }),
.b({open_n79682,\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n26_lutinv }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u663_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u664_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [3],\ethernet_i0/mac_test0/mac_top0/upper_data_req })); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(179)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b6|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [4]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b8 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [8]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b9|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg6_b10 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n25 [10]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n13_lutinv }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [10]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(333)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b1 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_008 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_009 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_008 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_009 }),
.c({\ethernet_i0/mac_test0/_al_u470_o ,\ethernet_i0/mac_test0/_al_u427_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [16],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [17]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b8/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b9/B1_0 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [1]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b2 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [26],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [18]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b15 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [27],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [31]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [15]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b14 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [28],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [30]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [14]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b6 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [22]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [6]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b5 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [20],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [21]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [5]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b8 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [23],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [24]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [8]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
// ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(346)
EG_PHY_LSLICE #(
//.LUTF0("~(~(~C*B)*~(D*A))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("~(~(~C*B)*~(D*A))"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010111000001100),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b1010111000001100),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg7_b9|ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/reg18_b4 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n72 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u786_o }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n6_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/wait_cnt [3],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/checksum_finish }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [25],open_n79953}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/n3_lutinv ,open_n79965}),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/ip0/state [4]})); // ../rtl/Ethernet/sources_1/mac/tx/ip_tx.v(63)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("~(~C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1111111111110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b1 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [1]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [1]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b14 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [14]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [14]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b11 (
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [12:11]),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 }),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [12:11])); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b13|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b15 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [15]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [15]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b3 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [3]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [3]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b8 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [8]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [8]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b6|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b4 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [4]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [4]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg8_b9 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [9]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n27 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [9]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100110101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b1 (
.a({open_n80157,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [15]}),
.b({open_n80158,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [7]}),
.c({open_n80159,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1015_o }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n80160,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1]}),
.mi({open_n80171,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [1]}),
.sr(RSTn_pad),
.f({open_n80172,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u1016_o }),
.q({open_n80176,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [1]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b10|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b2 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [2]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b11|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b14 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [14]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [11],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [14]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b12|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b13 (
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [13]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [12],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [13]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
//.LUT1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000010000100001),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b6 (
.a({open_n80250,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [2]}),
.b({open_n80251,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [8]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [2]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [8]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [6]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u987_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u977_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [4],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [6]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
// ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0@C)*~(D@B))"),
//.LUTF1("(~A*~(~0*C)*~(D@B))"),
//.LUTG0("(A*~(1@C)*~(D@B))"),
//.LUTG1("(~A*~(~1*C)*~(D@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100000000010),
.INIT_LUTF1(16'b0000010000000001),
.INIT_LUTG0(16'b1000000000100000),
.INIT_LUTG1(16'b0100010000010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b7|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/reg9_b5 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u987_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u988_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [1]}),
.c(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_send_cnt [14:13]),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n11 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [1]}),
.e(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_total_data_length [14:13]),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/fifo_udp_len [5]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u988_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u989_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [5]})); // ../rtl/Ethernet/sources_1/mac/tx/udp_tx.v(354)
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/ucin_al_u1116"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/u11_al_u1119 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [12]}),
.c(2'b11),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/ucin_al_u1116"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/u15_al_u1120 (
.a({open_n80298,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [15]}),
.c(2'b11),
.d({open_n80303,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/c15 ),
.f({open_n80320,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [15]}),
.fx({open_n80322,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/ucin_al_u1116"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/u3_al_u1117 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [4]}),
.c(2'b11),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/ucin_al_u1116"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/u7_al_u1118 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [8]}),
.c(2'b11),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/ucin_al_u1116"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/ucin_al_u1116 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [0]}),
.c(2'b11),
.d(2'b11),
.e(2'b01),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [1],open_n80378}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub0/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n22 [0]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/ucin_al_u1121"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/u11_al_u1124 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [12]}),
.c(2'b11),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/c11 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [13],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [11]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/c15 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [14],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/ucin_al_u1121"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/u15_al_u1125 (
.a({open_n80399,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [15]}),
.c(2'b11),
.d({open_n80404,1'b0}),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/c15 ),
.f({open_n80421,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [15]}),
.fx({open_n80423,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/ucin_al_u1121"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/u3_al_u1122 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [3]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [4]}),
.c(2'b11),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/c3 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [5],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [3]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/c7 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/ucin_al_u1121"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/u7_al_u1123 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [7]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [8]}),
.c(2'b11),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/c7 ),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [7]}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/c11 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/ucin_al_u1121"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h0005),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/ucin_al_u1121 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [1],1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_data_length [0]}),
.c(2'b11),
.d(2'b01),
.e(2'b01),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [1],open_n80479}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/sub1/c3 ),
.fx({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [2],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n32 [0]}));
EG_PHY_FIFO #(
.AE(32'b00000000000000000000000000001100),
.AEP1(32'b00000000000000000000000000001110),
.AF(32'b00000000000000000001111111111110),
.AFM1(32'b00000000000000000001111111111100),
.ASYNC_RESET_RELEASE("SYNC"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.E(32'b00000000000000000000000000000000),
.EP1(32'b00000000000000000000000000000010),
.F(32'b00000000000000000010000000000000),
.FM1(32'b00000000000000000001111111111110),
.GSR("DISABLE"),
.MODE("FIFO8K"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("SYNC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_0_ (
.clkr(\ethernet_i0/gmii_rx_clk ),
.clkw(\ethernet_i0/gmii_rx_clk ),
.csr({2'b11,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_empty_neg }),
.csw({2'b11,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_full_neg }),
.dia({open_n80482,open_n80483,open_n80484,\ethernet_i0/mac_test0/ram_wr_data [1],open_n80485,open_n80486,\ethernet_i0/mac_test0/ram_wr_data [0],open_n80487,open_n80488}),
.orea(1'b0),
.oreb(1'b0),
.re(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rd_en ),
.rprst(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n69 ),
.rst(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n69 ),
.we(\ethernet_i0/mac_test0/ram_wr_en ),
.afull_flag(\ethernet_i0/mac_test0/almost_full ),
.dob({open_n80508,open_n80509,open_n80510,open_n80511,open_n80512,open_n80513,open_n80514,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata [1:0]}),
.empty_flag(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_empty ),
.full_flag(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_full )); // ../rtl/Ethernet/al_ip/FIFO.v(42)
EG_PHY_FIFO #(
.AE(32'b00000000000000000000000000001100),
.AEP1(32'b00000000000000000000000000001110),
.AF(32'b00000000000000000001111111111110),
.AFM1(32'b00000000000000000001111111111100),
.ASYNC_RESET_RELEASE("SYNC"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.E(32'b00000000000000000000000000000000),
.EP1(32'b00000000000000000000000000000010),
.F(32'b00000000000000000010000000000000),
.FM1(32'b00000000000000000001111111111110),
.GSR("DISABLE"),
.MODE("FIFO8K"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("SYNC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_1_ (
.clkr(\ethernet_i0/gmii_rx_clk ),
.clkw(\ethernet_i0/gmii_rx_clk ),
.csr({2'b11,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_empty_neg }),
.csw({2'b11,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_full_neg }),
.dia({open_n80515,open_n80516,open_n80517,\ethernet_i0/mac_test0/ram_wr_data [3],open_n80518,open_n80519,\ethernet_i0/mac_test0/ram_wr_data [2],open_n80520,open_n80521}),
.orea(1'b0),
.oreb(1'b0),
.re(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rd_en ),
.rprst(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n69 ),
.rst(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n69 ),
.we(\ethernet_i0/mac_test0/ram_wr_en ),
.dob({open_n80542,open_n80543,open_n80544,open_n80545,open_n80546,open_n80547,open_n80548,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata [3:2]})); // ../rtl/Ethernet/al_ip/FIFO.v(42)
EG_PHY_FIFO #(
.AE(32'b00000000000000000000000000001100),
.AEP1(32'b00000000000000000000000000001110),
.AF(32'b00000000000000000001111111111110),
.AFM1(32'b00000000000000000001111111111100),
.ASYNC_RESET_RELEASE("SYNC"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.E(32'b00000000000000000000000000000000),
.EP1(32'b00000000000000000000000000000010),
.F(32'b00000000000000000010000000000000),
.FM1(32'b00000000000000000001111111111110),
.GSR("DISABLE"),
.MODE("FIFO8K"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("SYNC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_2_ (
.clkr(\ethernet_i0/gmii_rx_clk ),
.clkw(\ethernet_i0/gmii_rx_clk ),
.csr({2'b11,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_empty_neg }),
.csw({2'b11,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_full_neg }),
.dia({open_n80551,open_n80552,open_n80553,\ethernet_i0/mac_test0/ram_wr_data [5],open_n80554,open_n80555,\ethernet_i0/mac_test0/ram_wr_data [4],open_n80556,open_n80557}),
.orea(1'b0),
.oreb(1'b0),
.re(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rd_en ),
.rprst(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n69 ),
.rst(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n69 ),
.we(\ethernet_i0/mac_test0/ram_wr_en ),
.dob({open_n80578,open_n80579,open_n80580,open_n80581,open_n80582,open_n80583,open_n80584,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata [5:4]})); // ../rtl/Ethernet/al_ip/FIFO.v(42)
EG_PHY_FIFO #(
.AE(32'b00000000000000000000000000001100),
.AEP1(32'b00000000000000000000000000001110),
.AF(32'b00000000000000000001111111111110),
.AFM1(32'b00000000000000000001111111111100),
.ASYNC_RESET_RELEASE("SYNC"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.E(32'b00000000000000000000000000000000),
.EP1(32'b00000000000000000000000000000010),
.F(32'b00000000000000000010000000000000),
.FM1(32'b00000000000000000001111111111110),
.GSR("DISABLE"),
.MODE("FIFO8K"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("SYNC"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_3_ (
.clkr(\ethernet_i0/gmii_rx_clk ),
.clkw(\ethernet_i0/gmii_rx_clk ),
.csr({2'b11,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_empty_neg }),
.csw({2'b11,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/tx_data_fifo/fifo_inst_full_neg }),
.dia({open_n80587,open_n80588,open_n80589,\ethernet_i0/mac_test0/ram_wr_data [7],open_n80590,open_n80591,\ethernet_i0/mac_test0/ram_wr_data [6],open_n80592,open_n80593}),
.orea(1'b0),
.oreb(1'b0),
.re(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rd_en ),
.rprst(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n69 ),
.rst(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/n69 ),
.we(\ethernet_i0/mac_test0/ram_wr_en ),
.dob({open_n80614,open_n80615,open_n80616,open_n80617,open_n80618,open_n80619,open_n80620,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/ram_rdata [7:6]})); // ../rtl/Ethernet/al_ip/FIFO.v(42)
EG_PHY_CONFIG #(
.ADDR_SOURCE_SEL("STATIC"),
.DONE_PERSISTN("ENABLE"),
.FREQ("2.5"),
.GSRN_SYNC_SEL("DISABLE"),
.INIT_PERSISTN("ENABLE"),
.JTAG_PERSISTN("DISABLE"),
.MBOOT_AUTO_SEL("DISABLE"),
.PROGRAMN_PERSISTN("DISABLE"),
.STATIC_ADDR("8'b00000000"),
.USR_GSRN_EN("DISABLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/config_inst (); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(216)
EG_PHY_BRAM #(
//.INIT_FILE("NONE"),
//.RID("0x0025"),
//.WID("0x0025"),
.ASYNC_RESET_RELEASE("SYNC"),
.CEAMUX("SIG"),
.CEBMUX("1"),
.CLKAMUX("SIG"),
.CLKBMUX("SIG"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("SIG"),
.DATA_WIDTH_A("18"),
.DATA_WIDTH_B("18"),
.GSR("ENABLE"),
.INITP_00(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_01(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_02(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_03(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_04(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_05(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_06(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_07(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_08(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_09(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_0A(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_0B(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_0C(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_0D(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_0E(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_0F(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_10(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_11(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_12(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_13(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_14(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_15(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.MODE("PDPW8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("SYNC"),
.RSTAMUX("INV"),
.RSTBMUX("INV"),
.WEAMUX("1"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/fifo_bram_16x32_sub_000000_000 (
.addra({5'b00000,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_wrptr_g4_wrptr_g_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g2 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g1 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g0 ,4'b1111}),
.addrb({5'b00000,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_rdptr_g4_rdptr_g_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g2 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g1 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g0 ,4'b1111}),
.cea(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_we_full_equal_o__o ),
.clka(\ethernet_i0/gmii_rx_clk ),
.clkb(\ethernet_i0/gmii_rx_clk ),
.csb({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_re_empty_equal_o_o ,open_n80674,open_n80675}),
.dia(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [8:0]),
.dib({2'b00,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [15:9]}),
.rsta(RSTn_pad),
.rstb(RSTn_pad),
.doa(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [8:0]),
.dob(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [17:9])); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(249)
EG_PHY_BRAM #(
//.INIT_FILE("NONE"),
//.RID("0x0026"),
//.WID("0x0026"),
.ASYNC_RESET_RELEASE("SYNC"),
.CEAMUX("SIG"),
.CEBMUX("1"),
.CLKAMUX("SIG"),
.CLKBMUX("SIG"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("SIG"),
.DATA_WIDTH_A("18"),
.DATA_WIDTH_B("18"),
.GSR("ENABLE"),
.INITP_00(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_01(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_02(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_03(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_04(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_05(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_06(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_07(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_08(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_09(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_0A(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_0B(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_0C(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_0D(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_0E(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_0F(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_10(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_11(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_12(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_13(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_14(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_15(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000),
.MODE("PDPW8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("SYNC"),
.RSTAMUX("INV"),
.RSTBMUX("INV"),
.WEAMUX("1"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/fifo_bram_16x32_sub_000000_018 (
.addra({5'b00000,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_wrptr_g4_wrptr_g_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g2 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g1 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g0 ,4'b1111}),
.addrb({5'b00000,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_rdptr_g4_rdptr_g_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g2 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g1 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g0 ,4'b1111}),
.cea(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_we_full_equal_o__o ),
.clka(\ethernet_i0/gmii_rx_clk ),
.clkb(\ethernet_i0/gmii_rx_clk ),
.csb({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_re_empty_equal_o_o ,open_n80684,open_n80685}),
.dia({2'b00,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [19],2'b00,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [19],\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_in [19],1'b0}),
.dib({open_n80686,open_n80687,open_n80688,open_n80689,5'b00000}),
.rsta(RSTn_pad),
.rstb(RSTn_pad),
.doa(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [26:18]),
.dob({open_n80694,open_n80695,open_n80696,open_n80697,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/checksum_q [31:27]})); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(283)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(394)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(385)
EG_PHY_LSLICE #(
//.LUTF0("(D@(C*~B*~A))"),
//.LUTF1("(C@(B*~D))"),
//.LUTG0("(D@(C*~B*~A))"),
//.LUTG1("(C@(B*~D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111100010000),
.INIT_LUTF1(16'b1111000000111100),
.INIT_LUTG0(16'b1110111100010000),
.INIT_LUTG1(16'b1111000000111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/gray_counter_reg_al_u18_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/gray_counter_reg_al_u18_b3 (
.a({open_n80698,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0_al_n14 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1_al_n15 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1_al_n15 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2_al_n16 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2_al_n16 }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_re_empty_equal_o_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0_al_n14 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q3_al_n17 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2_al_n16 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q3_al_n17 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(394)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(403)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(412)
EG_PHY_LSLICE #(
//.LUTF0("(0@(D*~C*~B*~A))"),
//.LUTF1("(D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
//.LUTG0("(1@(D*~C*~B*~A))"),
//.LUTG1("(D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTF1(16'b0000110011110000),
.INIT_LUTG0(16'b1111111011111111),
.INIT_LUTG1(16'b0000110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/gray_counter_reg_al_u18_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/gray_counter_reg_al_u18_b4 (
.a({open_n80720,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0_al_n14 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q4_al_n18 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1_al_n15 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q5_al_n19 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2_al_n16 }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_re_empty_equal_o_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_and_and_and__al__o_al_n21 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q3_al_n17 }),
.e({open_n80721,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q4_al_n18 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q5_al_n19 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q4_al_n18 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(403)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(430)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(421)
EG_PHY_MSLICE #(
//.LUT0("(C@D)"),
//.LUT1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111110000),
.INIT_LUT1(16'b0000000011111111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/gray_counter_reg_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/gray_counter_reg_b1 (
.c({open_n80745,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1 }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_we_full_equal_o__o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(430)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(448)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(439)
EG_PHY_LSLICE #(
//.LUTF0("(D@(C*~B*~A))"),
//.LUTF1("(C@(B*~D))"),
//.LUTG0("(D@(C*~B*~A))"),
//.LUTG1("(C@(B*~D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111100010000),
.INIT_LUTF1(16'b1111000000111100),
.INIT_LUTG0(16'b1110111100010000),
.INIT_LUTG1(16'b1111000000111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/gray_counter_reg_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/gray_counter_reg_b3 (
.a({open_n80763,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2 }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_we_full_equal_o__o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q3 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q3 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(448)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(457)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(466)
EG_PHY_LSLICE #(
//.LUTF0("(0@(D*~C*~B*~A))"),
//.LUTF1("(D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
//.LUTG0("(1@(D*~C*~B*~A))"),
//.LUTG1("(D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTF1(16'b0000110011110000),
.INIT_LUTG0(16'b1111111011111111),
.INIT_LUTG1(16'b0000110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/gray_counter_reg_b5|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/gray_counter_reg_b4 (
.a({open_n80785,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q4 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q5 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2 }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_we_full_equal_o__o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_and_and_and__al__o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q3 }),
.e({open_n80786,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q4 }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q5 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q4 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(457)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdusedw_sub/u0|udp_tx_checksum/rdusedw_sub/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdusedw_sub/u0|udp_tx_checksum/rdusedw_sub/ucin (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g_bin_d10 ,1'b0}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g_bin_d10 ,1'b0}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.mi({open_n80820,HWDATA[16]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/usedw [0],open_n80821}),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdusedw_sub/c1 ),
.q({open_n80824,\FM_Display/percentage_digit [3]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdusedw_sub/u0|udp_tx_checksum/rdusedw_sub/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdusedw_sub/u2|udp_tx_checksum/rdusedw_sub/u1 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g_bin_d12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g_bin_d11 }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g_bin_d12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g_bin_d11 }),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdusedw_sub/c1 ),
.f(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/usedw [2:1]),
.fco(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdusedw_sub/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdusedw_sub/u0|udp_tx_checksum/rdusedw_sub/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdusedw_sub/u3_al_u1136 (
.a({open_n80847,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g_bin_d13 }),
.b({open_n80848,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g_bin_d13 }),
.fci(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdusedw_sub/c3 ),
.f({open_n80867,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/usedw [3]}));
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(593)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(575)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~1*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011010111111111),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_deleay_wrptr_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_deleay_wrptr_b2 (
.a({open_n80873,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b16/B1_0 }),
.b({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b16/B1_1 }),
.c({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_002 ,\ethernet_i0/mac_test0/j [0]}),
.e({open_n80875,\ethernet_i0/mac_test0/j [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g2 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u397_o ,\ethernet_i0/mac_test0/_al_u479_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g2 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(593)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(611)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(602)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_deleay_wrptr_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_deleay_wrptr_b4 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_016 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_017 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_016 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_017 }),
.c({\ethernet_i0/mac_test0/_al_u475_o ,\ethernet_i0/mac_test0/_al_u432_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g3 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g4 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b16/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b17/B1_0 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g3 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g4 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(611)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(656)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(647)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_al_u28_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_al_u28_b4 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_018 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_019 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_018 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_019 }),
.c({\ethernet_i0/mac_test0/_al_u389_o ,\ethernet_i0/mac_test0/_al_u346_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g3 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g4 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b18/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b19/B1_0 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g3 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g4 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(656)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(683)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(674)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_al_u30_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_al_u30_b2 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_018 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_019 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_018 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_019 }),
.c({\ethernet_i0/mac_test0/_al_u391_o ,\ethernet_i0/mac_test0/_al_u348_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g1 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g2 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b18/B1_1 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b19/B1_1 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g1 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g2 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(683)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(710)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(719)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_b0 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_027 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_026 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_027 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_026 }),
.c({\ethernet_i0/mac_test0/i [4],\ethernet_i0/mac_test0/i [4]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_re_empty_equal_o_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2_al_n16 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1_al_n15 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u356_o ,\ethernet_i0/mac_test0/_al_u399_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g1 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g0 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(710)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTG0(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_b2 (
.a({open_n80962,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_024 }),
.b({open_n80963,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_024 }),
.c({open_n80964,\ethernet_i0/mac_test0/i [4]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_re_empty_equal_o_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n80965,\ethernet_i0/mac_test0/i [5]}),
.mi({open_n80969,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q3_al_n17 }),
.sr(RSTn_pad),
.f({open_n80981,\ethernet_i0/mac_test0/_al_u485_o }),
.q({open_n80985,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g2 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(728)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(737)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(746)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0@C)*~(D@B))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(A*~(1@C)*~(D@B))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100000000010),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1000000000100000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_b4|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_b3 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u847_o }),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g3 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g4 }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_re_empty_equal_o_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q3 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g3 }),
.e({open_n80986,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g4 }),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q5_al_n19 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q4_al_n18 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_and_and_and__al__o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u848_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g4 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g3 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(737)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(890)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(755)
EG_PHY_MSLICE #(
//.LUT0("(C@D)"),
//.LUT1("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111110000),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_d1_al_u32_b0|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_wrptr_d1_al_u34_b0 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g0 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_xor_xor_sync_del_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_xor_xor_rdptr_g4_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g_bin_d10 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g_bin_d10 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(890)
EG_PHY_LSLICE #(
//.LUTF0("(C@D)"),
//.LUTG0("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111110000),
.INIT_LUTG0(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_d1_al_u32_b1 (
.c({open_n81028,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g1 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n81030,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_xor_sync_delayed_o }),
.sr(RSTn_pad),
.f({open_n81047,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_xor_xor_sync_del_o }),
.q({open_n81051,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g_bin_d11 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(764)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(782)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(773)
EG_PHY_MSLICE #(
//.LUT0("(C@D)"),
//.LUT1("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111110000),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_d1_al_u32_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_d1_al_u32_b3 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g2 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g4 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_sync_delayed_wrp_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g3 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_xor_sync_delayed_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_sync_delayed_wrp_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g_bin_d12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g_bin_d13 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(782)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(845)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(854)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTF1("(~0*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG0("(~1*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG1("(~1*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011010111111111),
.INIT_LUTF1(16'b0011010111111111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_wrptr_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_wrptr_b0 (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b18/B1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b17/B1_0 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b18/B1_1 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b17/B1_1 }),
.c({\ethernet_i0/mac_test0/i [6],\ethernet_i0/mac_test0/i [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_we_full_equal_o__o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/j [0],\ethernet_i0/mac_test0/j [0]}),
.e({\ethernet_i0/mac_test0/j [1],\ethernet_i0/mac_test0/j [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q2 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q1 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/_al_u393_o ,\ethernet_i0/mac_test0/_al_u436_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g1 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g0 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(845)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(863)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(872)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTF1("(C@D)"),
//.LUTG0("(~1*~(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011010111111111),
.INIT_LUTF1(16'b0000111111110000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_wrptr_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_wrptr_b2 (
.a({open_n81087,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b19/B1_0 }),
.b({open_n81088,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b19/B1_1 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g4 ,\ethernet_i0/mac_test0/i [6]}),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_we_full_equal_o__o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g3 ,\ethernet_i0/mac_test0/j [0]}),
.e({open_n81089,\ethernet_i0/mac_test0/j [1]}),
.mi({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q4 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q3 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_wrptr_g4_wrptr_g_o ,\ethernet_i0/mac_test0/_al_u350_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g3 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g2 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(863)
EG_PHY_MSLICE #(
//.LUT0("((D@B)*(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001001001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_wrptr_b4 (
.a({open_n81105,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g3 }),
.b({open_n81106,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_rdptr_g4 }),
.c({open_n81107,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g3 }),
.ce(\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/and_we_full_equal_o__o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n81108,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g4 }),
.mi({open_n81119,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/q5 }),
.sr(RSTn_pad),
.f({open_n81120,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u958_o }),
.q({open_n81124,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/wrptr_g4 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(881)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(692)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(899)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C@D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b0000111111110000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_wrptr_d1_al_u34_b1|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_al_u30_b3 (
.a({open_n81125,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_019 }),
.b({open_n81126,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_019 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g1 ,\ethernet_i0/mac_test0/i [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_xor_rdptr_g4_rdp_o ,\ethernet_i0/mac_test0/i [5]}),
.mi({open_n81131,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g3 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_xor_xor_rdptr_g4_o ,\ethernet_i0/mac_test0/_al_u346_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g_bin_d11 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g3 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(692)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(665)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(908)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C@D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111111110000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_wrptr_d1_al_u34_b2|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_al_u30_b0 (
.a({open_n81146,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i4_017 }),
.b({open_n81147,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i5_017 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g2 ,\ethernet_i0/mac_test0/_al_u434_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_rdptr_g4_rdptr_g_o ,\ethernet_i0/mac_test0/i [5]}),
.mi({open_n81152,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g0 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_xor_rdptr_g4_rdp_o ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_mux_b17/B1_1 }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g_bin_d12 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g0 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(665)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(701)
// ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(917)
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
//.LUT1("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000010000100001),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_wrptr_d1_al_u34_b3|ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/reg_rdptr_al_u30_b4 (
.a({open_n81167,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g0 }),
.b({open_n81168,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g2 }),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g4 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g0 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g3 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g2 }),
.mi({open_n81180,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/delayed_wrptr_g4 }),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/xor_rdptr_g4_rdptr_g_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/_al_u847_o }),
.q({\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/rdptr_g_bin_d13 ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/udp0/udp_tx_checksum/sync_delayed_wrptr_g4 })); // ../rtl/Ethernet/al_ip/RAMFIFO_sim.v(701)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg0_b2|ethernet_i0/mac_test0/reg0_b6 (
.clk(\ethernet_i0/gmii_rx_clk ),
.mi({\ethernet_i0/gmii_rxd [2],\ethernet_i0/gmii_rxd [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/gmii_rxd_d0 [2],\ethernet_i0/mac_test0/gmii_rxd_d0 [6]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg0_b5|ethernet_i0/mac_test0/reg0_b7 (
.a({\u_logic/Ljqow6 ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Qiqow6 ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r11_o[22] ,\u_logic/vis_r8_o[22] }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\u_logic/vis_r9_o[22] ,\u_logic/vis_r10_o[22] }),
.mi({\ethernet_i0/gmii_rxd [5],\ethernet_i0/gmii_rxd [7]}),
.sr(RSTn_pad),
.f({\u_logic/_al_u1336_o ,\u_logic/_al_u814_o }),
.q({\ethernet_i0/mac_test0/gmii_rxd_d0 [5],\ethernet_i0/mac_test0/gmii_rxd_d0 [7]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C@B))"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(D*~(C@B))"),
//.LUTG1("(D*~(C@B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001100000000),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b1100001100000000),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg1_b1|ethernet_i0/mac_test0/reg1_b3 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/state [0],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/state [1],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [29],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [30]}),
.mi({\ethernet_i0/mac_test0/gmii_txd_tmp [1],\ethernet_i0/mac_test0/gmii_txd_tmp [3]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u663_o ,\ethernet_i0/mac_test0/mac_top0/_al_u656_o }),
.q({\ethernet_i0/gmii_txd [1],\ethernet_i0/gmii_txd [3]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg1_b2 (
.a({open_n81250,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1072_o }),
.b({open_n81251,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1073_o }),
.c({open_n81252,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1074_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({open_n81254,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1075_o }),
.mi({open_n81265,\ethernet_i0/mac_test0/gmii_txd_tmp [2]}),
.sr(RSTn_pad),
.f({open_n81266,\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/n4_lutinv }),
.q({open_n81270,\ethernet_i0/gmii_txd [2]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
// ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(198)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg1_b6|ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/reg8_b8 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [6],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [11]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [7],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [12]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [8],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [13]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [9],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [14]}),
.mi({\ethernet_i0/mac_test0/gmii_txd_tmp [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [8]}),
.sr(RSTn_pad),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1072_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1074_o }),
.q({\ethernet_i0/gmii_txd [6],\ethernet_i0/mac_test0/mac_top0/mac_rx0/mac0/crc_result_d0 [8]})); // ../rtl/Ethernet/sources_1/mac/rx/mac_rx.v(256)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUTF1("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUTG0("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUTG1("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010000000100),
.INIT_LUTF1(16'b0101010000000100),
.INIT_LUTG0(16'b0101010000000100),
.INIT_LUTG1(16'b0101010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg2_b0|ethernet_i0/mac_test0/reg2_b1 (
.a({\ethernet_i0/mac_test0/n22 ,\ethernet_i0/mac_test0/n22 }),
.b({\ethernet_i0/mac_test0/n26 [0],\ethernet_i0/mac_test0/n26 [1]}),
.c({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/bufferA_B }),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/count_A [0],\ethernet_i0/mac_test0/count_A [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/count_A [0],\ethernet_i0/mac_test0/count_A [1]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUTF1("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUTG0("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUTG1("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010000000100),
.INIT_LUTF1(16'b0101010000000100),
.INIT_LUTG0(16'b0101010000000100),
.INIT_LUTG1(16'b0101010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg2_b10|ethernet_i0/mac_test0/reg2_b7 (
.a({\ethernet_i0/mac_test0/n22 ,\ethernet_i0/mac_test0/n22 }),
.b({\ethernet_i0/mac_test0/n26 [10],\ethernet_i0/mac_test0/n26 [7]}),
.c({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/bufferA_B }),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/count_A [10],\ethernet_i0/mac_test0/count_A [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/count_A [10],\ethernet_i0/mac_test0/count_A [7]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUTF1("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUTG0("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUTG1("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010000000100),
.INIT_LUTF1(16'b0101010000000100),
.INIT_LUTG0(16'b0101010000000100),
.INIT_LUTG1(16'b0101010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg2_b2|ethernet_i0/mac_test0/reg2_b3 (
.a({\ethernet_i0/mac_test0/n22 ,\ethernet_i0/mac_test0/n22 }),
.b({\ethernet_i0/mac_test0/n26 [2],\ethernet_i0/mac_test0/n26 [3]}),
.c({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/bufferA_B }),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/count_A [2],\ethernet_i0/mac_test0/count_A [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/count_A [2],\ethernet_i0/mac_test0/count_A [3]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_MSLICE #(
//.LUT0("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUT1("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101010000000100),
.INIT_LUT1(16'b0101010000000100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg2_b5|ethernet_i0/mac_test0/reg2_b6 (
.a({\ethernet_i0/mac_test0/n22 ,\ethernet_i0/mac_test0/n22 }),
.b({\ethernet_i0/mac_test0/n26 [5],\ethernet_i0/mac_test0/n26 [6]}),
.c({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/bufferA_B }),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/count_A [5],\ethernet_i0/mac_test0/count_A [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/count_A [5],\ethernet_i0/mac_test0/count_A [6]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_MSLICE #(
//.LUT0("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUT1("(~A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101010000000100),
.INIT_LUT1(16'b0101010000000100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg2_b8|ethernet_i0/mac_test0/reg2_b9 (
.a({\ethernet_i0/mac_test0/n22 ,\ethernet_i0/mac_test0/n22 }),
.b({\ethernet_i0/mac_test0/n26 [8],\ethernet_i0/mac_test0/n26 [9]}),
.c({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/bufferA_B }),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/count_A [8],\ethernet_i0/mac_test0/count_A [9]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/count_A [8],\ethernet_i0/mac_test0/count_A [9]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_MSLICE #(
//.LUT0("~(~(D*~C)*~(B*A))"),
//.LUT1("~(~(D*~C)*~(B*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000111110001000),
.INIT_LUT1(16'b1000111110001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg3_b0|ethernet_i0/mac_test0/reg3_b1 (
.a({\ethernet_i0/mac_test0/_al_u110_o ,\ethernet_i0/mac_test0/_al_u110_o }),
.b({\ethernet_i0/mac_test0/n27 [0],\ethernet_i0/mac_test0/n27 [1]}),
.c({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/bufferA_B }),
.ce(\ethernet_i0/mac_test0/n22 ),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/count_B [0],\ethernet_i0/mac_test0/count_B [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/count_B [0],\ethernet_i0/mac_test0/count_B [1]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg3_b10|ethernet_i0/mac_test0/reg3_b7 (
.b({\ethernet_i0/mac_test0/n27 [10],\ethernet_i0/mac_test0/n27 [7]}),
.c({\ethernet_i0/mac_test0/count_B [10],\ethernet_i0/mac_test0/count_B [7]}),
.ce(\ethernet_i0/mac_test0/n22 ),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/_al_u110_o ,\ethernet_i0/mac_test0/_al_u110_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/count_B [10],\ethernet_i0/mac_test0/count_B [7]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("~(~(D*~C)*~(B*A))"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("~(~(D*~C)*~(B*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1000111110001000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1000111110001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg3_b5|ethernet_i0/mac_test0/reg3_b3 (
.a({\ethernet_i0/mac_test0/_al_u110_o ,open_n81431}),
.b({\ethernet_i0/mac_test0/n27 [5],\ethernet_i0/mac_test0/n27 [3]}),
.c({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/count_B [3]}),
.ce(\ethernet_i0/mac_test0/n22 ),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/count_B [5],\ethernet_i0/mac_test0/_al_u110_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/count_B [5],\ethernet_i0/mac_test0/count_B [3]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("~(~(D*~C)*~(B*A))"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("~(~(D*~C)*~(B*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1000111110001000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1000111110001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg3_b6|ethernet_i0/mac_test0/reg3_b2 (
.a({\ethernet_i0/mac_test0/_al_u110_o ,open_n81453}),
.b({\ethernet_i0/mac_test0/n27 [6],\ethernet_i0/mac_test0/n27 [2]}),
.c({\ethernet_i0/mac_test0/bufferA_B ,\ethernet_i0/mac_test0/count_B [2]}),
.ce(\ethernet_i0/mac_test0/n22 ),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/count_B [6],\ethernet_i0/mac_test0/_al_u110_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/count_B [6],\ethernet_i0/mac_test0/count_B [2]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg3_b8|ethernet_i0/mac_test0/reg3_b9 (
.b({\ethernet_i0/mac_test0/n27 [8],\ethernet_i0/mac_test0/n27 [9]}),
.c({\ethernet_i0/mac_test0/count_B [8],\ethernet_i0/mac_test0/count_B [9]}),
.ce(\ethernet_i0/mac_test0/n22 ),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/_al_u110_o ,\ethernet_i0/mac_test0/_al_u110_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/count_B [8],\ethernet_i0/mac_test0/count_B [9]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("~(~(0*D)*~(C*~B*~A))"),
//.LUTF1("~(~(0*D)*~(C*~B*~A))"),
//.LUTG0("~(~(1*D)*~(C*~B*~A))"),
//.LUTG1("~(~(1*D)*~(C*~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000000010000),
.INIT_LUTF1(16'b0001000000010000),
.INIT_LUTG0(16'b1111111100010000),
.INIT_LUTG1(16'b1111111100010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg5_b0|ethernet_i0/mac_test0/reg5_b1 (
.a({\ethernet_i0/mac_test0/_al_u469_o ,\ethernet_i0/mac_test0/_al_u426_o }),
.b({\ethernet_i0/mac_test0/_al_u490_o ,\ethernet_i0/mac_test0/_al_u447_o }),
.c({\ethernet_i0/mac_test0/n91 ,\ethernet_i0/mac_test0/n91 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/n92 ,\ethernet_i0/mac_test0/n92 }),
.e({\ethernet_i0/mac_test0/ram_wr_data [0],\ethernet_i0/mac_test0/ram_wr_data [1]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/ram_wr_data [0],\ethernet_i0/mac_test0/ram_wr_data [1]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("~(~(0*D)*~(C*~B*~A))"),
//.LUTF1("~(~(0*D)*~(C*~B*~A))"),
//.LUTG0("~(~(1*D)*~(C*~B*~A))"),
//.LUTG1("~(~(1*D)*~(C*~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000000010000),
.INIT_LUTF1(16'b0001000000010000),
.INIT_LUTG0(16'b1111111100010000),
.INIT_LUTG1(16'b1111111100010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg5_b2|ethernet_i0/mac_test0/reg5_b3 (
.a({\ethernet_i0/mac_test0/_al_u383_o ,\ethernet_i0/mac_test0/_al_u340_o }),
.b({\ethernet_i0/mac_test0/_al_u404_o ,\ethernet_i0/mac_test0/_al_u361_o }),
.c({\ethernet_i0/mac_test0/n91 ,\ethernet_i0/mac_test0/n91 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/n92 ,\ethernet_i0/mac_test0/n92 }),
.e({\ethernet_i0/mac_test0/ram_wr_data [2],\ethernet_i0/mac_test0/ram_wr_data [3]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/ram_wr_data [2],\ethernet_i0/mac_test0/ram_wr_data [3]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("~(~0*~(D*~A*~(~C*B)))"),
//.LUTF1("~(~0*~(D*~A*~(~C*B)))"),
//.LUTG0("~(~1*~(D*~A*~(~C*B)))"),
//.LUTG1("~(~1*~(D*~A*~(~C*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101000100000000),
.INIT_LUTF1(16'b0101000100000000),
.INIT_LUTG0(16'b1111111111111111),
.INIT_LUTG1(16'b1111111111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg5_b4|ethernet_i0/mac_test0/reg5_b5 (
.a({\ethernet_i0/mac_test0/_al_u296_o ,\ethernet_i0/mac_test0/_al_u252_o }),
.b({\ethernet_i0/mac_test0/_al_u307_o ,\ethernet_i0/mac_test0/_al_u263_o }),
.c({\ethernet_i0/mac_test0/_al_u317_o ,\ethernet_i0/mac_test0/_al_u273_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/n91 ,\ethernet_i0/mac_test0/n91 }),
.e({\ethernet_i0/mac_test0/_al_u318_o ,\ethernet_i0/mac_test0/_al_u274_o }),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/ram_wr_data [4],\ethernet_i0/mac_test0/ram_wr_data [5]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("~(~(0*D)*~(C*~B*~A))"),
//.LUTF1("~(~(0*D)*~(C*~B*~A))"),
//.LUTG0("~(~(1*D)*~(C*~B*~A))"),
//.LUTG1("~(~(1*D)*~(C*~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000000010000),
.INIT_LUTF1(16'b0001000000010000),
.INIT_LUTG0(16'b1111111100010000),
.INIT_LUTG1(16'b1111111100010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg5_b6|ethernet_i0/mac_test0/reg5_b7 (
.a({\ethernet_i0/mac_test0/_al_u209_o ,\ethernet_i0/mac_test0/_al_u166_o }),
.b({\ethernet_i0/mac_test0/_al_u230_o ,\ethernet_i0/mac_test0/_al_u187_o }),
.c({\ethernet_i0/mac_test0/n91 ,\ethernet_i0/mac_test0/n91 }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/n92 ,\ethernet_i0/mac_test0/n92 }),
.e({\ethernet_i0/mac_test0/ram_wr_data [6],\ethernet_i0/mac_test0/ram_wr_data [7]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/ram_wr_data [6],\ethernet_i0/mac_test0/ram_wr_data [7]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010010000000),
.INIT_LUTF1(16'b1100010010000000),
.INIT_LUTG0(16'b1100010010000000),
.INIT_LUTG1(16'b1100010010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg6_b1|ethernet_i0/mac_test0/reg6_b2 (
.a({\ethernet_i0/mac_test0/mux19_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mux19_b0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/n59_lutinv ,\ethernet_i0/mac_test0/n59_lutinv }),
.c({\ethernet_i0/mac_test0/n84 [1],\ethernet_i0/mac_test0/n84 [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [2]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/i [1],\ethernet_i0/mac_test0/i [2]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010010000000),
.INIT_LUTF1(16'b1100010010000000),
.INIT_LUTG0(16'b1100010010000000),
.INIT_LUTG1(16'b1100010010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg6_b4|ethernet_i0/mac_test0/reg6_b3 (
.a({\ethernet_i0/mac_test0/mux19_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mux19_b0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/n59_lutinv ,\ethernet_i0/mac_test0/n59_lutinv }),
.c(\ethernet_i0/mac_test0/n84 [4:3]),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/mac_test0/i [4:3]),
.sr(RSTn_pad),
.q(\ethernet_i0/mac_test0/i [4:3])); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010010000000),
.INIT_LUTF1(16'b1100010010000000),
.INIT_LUTG0(16'b1100010010000000),
.INIT_LUTG1(16'b1100010010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg6_b5|ethernet_i0/mac_test0/reg6_b0 (
.a({\ethernet_i0/mac_test0/mux19_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mux19_b0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/n59_lutinv ,\ethernet_i0/mac_test0/n59_lutinv }),
.c({\ethernet_i0/mac_test0/n84 [5],\ethernet_i0/mac_test0/n84 [0]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [0]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [0]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_MSLICE #(
//.LUT0("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUT1("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100010010000000),
.INIT_LUT1(16'b1100010010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg6_b7|ethernet_i0/mac_test0/reg6_b8 (
.a({\ethernet_i0/mac_test0/mux19_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mux19_b0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/n59_lutinv ,\ethernet_i0/mac_test0/n59_lutinv }),
.c({\ethernet_i0/mac_test0/n84 [7],\ethernet_i0/mac_test0/n84 [8]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [7],\ethernet_i0/mac_test0/i [8]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/i [7],\ethernet_i0/mac_test0/i [8]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
EG_PHY_LSLICE #(
//.LUTF0("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(B*(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010010000000),
.INIT_LUTF1(16'b1100010010000000),
.INIT_LUTG0(16'b1100010010000000),
.INIT_LUTG1(16'b1100010010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/reg6_b9|ethernet_i0/mac_test0/reg6_b6 (
.a({\ethernet_i0/mac_test0/mux19_b0_sel_is_2_o ,\ethernet_i0/mac_test0/mux19_b0_sel_is_2_o }),
.b({\ethernet_i0/mac_test0/n59_lutinv ,\ethernet_i0/mac_test0/n59_lutinv }),
.c({\ethernet_i0/mac_test0/n84 [9],\ethernet_i0/mac_test0/n84 [6]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [9],\ethernet_i0/mac_test0/i [6]}),
.sr(RSTn_pad),
.q({\ethernet_i0/mac_test0/i [9],\ethernet_i0/mac_test0/i [6]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(338)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(282)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(282)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/mac_test0/trigger_delay2_reg|ethernet_i0/mac_test0/trigger_delay4_reg (
.a({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i0_026 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i2_025 }),
.b({\ethernet_i0/mac_test0/al_ram_Buff_A_do_i1_026 ,\ethernet_i0/mac_test0/al_ram_Buff_A_do_i3_025 }),
.c({\ethernet_i0/mac_test0/_al_u399_o ,\ethernet_i0/mac_test0/i [4]}),
.ce(RSTn_pad),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/i [5],\ethernet_i0/mac_test0/i [5]}),
.mi({\ethernet_i0/mac_test0/trigger_delay1 ,\ethernet_i0/mac_test0/trigger_delay3 }),
.f({\ethernet_i0/mac_test0/_al_u400_o ,\ethernet_i0/mac_test0/_al_u442_o }),
.q({\ethernet_i0/mac_test0/trigger_delay2 ,\ethernet_i0/mac_test0/trigger_delay4 })); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(282)
// ../rtl/demodulation/FM_Demodulation.v(291)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(252)
EG_PHY_LSLICE #(
//.LUTF0("(~0*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTF1("~(~D*~(C*B))"),
//.LUTG0("(~1*(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D))"),
//.LUTG1("~(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010100011011),
.INIT_LUTF1(16'b1111111111000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111111111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\ethernet_i0/mac_test0/trigger_send_reg|FM_HW/FM_Demodulation/reg12_b11 (
.a({open_n81698,\ethernet_i0/mac_test0/_al_u451_o }),
.b({\ethernet_i0/mac_test0/n49_1_1_0 ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i0_016 }),
.c({\ethernet_i0/mac_test0/_al_u109_o ,\ethernet_i0/mac_test0/al_ram_Buff_B_do_i1_016 }),
.clk(clk_fm_ethernet_gclk_net),
.d({\ethernet_i0/mac_test0/n22 ,\ethernet_i0/mac_test0/i [5]}),
.e({open_n81700,\ethernet_i0/mac_test0/i [6]}),
.mi({open_n81702,\FM_HW/FM_Demodulation/IQdatatemp2 [11]}),
.sr(RSTn_pad),
.f({open_n81714,\ethernet_i0/mac_test0/_al_u452_o }),
.q({\ethernet_i0/mac_test0/trigger_send ,fm_data_ethernet[11]})); // ../rtl/demodulation/FM_Demodulation.v(291)
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_control_inst/add1/ucin_al_u196"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_control_inst/add1/u11_al_u199 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [13],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [11]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [14],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/miim_top_m0/miim_control_inst/add1/c11 ),
.f({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [13],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [11]}),
.fco(\ethernet_i0/miim_top_m0/miim_control_inst/add1/c15 ),
.fx({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [14],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [12]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_control_inst/add1/ucin_al_u196"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_control_inst/add1/u15_al_u200 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [17],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [15]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [18],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [16]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/miim_top_m0/miim_control_inst/add1/c15 ),
.f({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [17],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [15]}),
.fco(\ethernet_i0/miim_top_m0/miim_control_inst/add1/c19 ),
.fx({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [18],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [16]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_control_inst/add1/ucin_al_u196"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_control_inst/add1/u19_al_u201 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [21],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [19]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [22],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [20]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/miim_top_m0/miim_control_inst/add1/c19 ),
.f({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [21],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [19]}),
.fco(\ethernet_i0/miim_top_m0/miim_control_inst/add1/c23 ),
.fx({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [22],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [20]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_control_inst/add1/ucin_al_u196"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_control_inst/add1/u23_al_u202 (
.a({open_n81772,\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [23]}),
.c(2'b00),
.d({open_n81777,1'b0}),
.fci(\ethernet_i0/miim_top_m0/miim_control_inst/add1/c23 ),
.f({open_n81794,\ethernet_i0/miim_top_m0/miim_control_inst/n9 [23]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_control_inst/add1/ucin_al_u196"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_control_inst/add1/u3_al_u197 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [5],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [3]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [6],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/miim_top_m0/miim_control_inst/add1/c3 ),
.f({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [5],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [3]}),
.fco(\ethernet_i0/miim_top_m0/miim_control_inst/add1/c7 ),
.fx({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [6],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [4]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_control_inst/add1/ucin_al_u196"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_control_inst/add1/u7_al_u198 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [9],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [7]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [10],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\ethernet_i0/miim_top_m0/miim_control_inst/add1/c7 ),
.f({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [9],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [7]}),
.fco(\ethernet_i0/miim_top_m0/miim_control_inst/add1/c11 ),
.fx({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [10],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [8]}));
EG_PHY_LSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_control_inst/add1/ucin_al_u196"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_control_inst/add1/ucin_al_u196 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [1],1'b0}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [2],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [1],open_n81853}),
.fco(\ethernet_i0/miim_top_m0/miim_control_inst/add1/c3 ),
.fx({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [2],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [0]}));
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(A*~B*~C*~D+~A*B*~C*~D+A*B*~C*~D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(A*~B*~C*~D+~A*B*~C*~D+A*B*~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b0000000000001110),
.INIT_LUTG0(16'b0011111111110011),
.INIT_LUTG1(16'b0000000000001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/miim_we_o_reg|ethernet_i0/miim_top_m0/miim_control_inst/reg4_b0 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/miim_top_m0/miim_control_inst/state [0]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [1],\ethernet_i0/miim_top_m0/miim_control_inst/state [1]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [2],\ethernet_i0/miim_top_m0/miim_control_inst/state [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/state [3],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.e({open_n81857,\ethernet_i0/miim_top_m0/miim_data_write [0]}),
.q({\ethernet_i0/miim_top_m0/miim_wr_en ,\ethernet_i0/miim_top_m0/miim_data_write [0]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("~(~(~D*B)*~(A)*~(C)+~(~D*B)*A*~(C)+~(~(~D*B))*A*C+~(~D*B)*A*C)"),
//.LUT1("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101000001011100),
.INIT_LUT1(16'b1100110011111010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg0_b2|ethernet_i0/miim_top_m0/miim_control_inst/reg0_b1 (
.a({\ethernet_i0/_al_u164_o ,\ethernet_i0/_al_u186_o }),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/mux11_b2/B2_1 ,\ethernet_i0/miim_top_m0/miim_control_inst/state [1]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [2],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/state [3],\ethernet_i0/miim_top_m0/miim_ack }),
.q(\ethernet_i0/miim_top_m0/miim_control_inst/state [2:1])); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011000000110000),
.INIT_LUT1(16'b1011010110110101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg0_b3 (
.a({\ethernet_i0/_al_u75_o ,\ethernet_i0/_al_u75_o }),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [2],\ethernet_i0/miim_top_m0/miim_control_inst/state [2]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [3],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [3],\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [3]}),
.mi({open_n81908,\ethernet_i0/miim_top_m0/miim_ack }),
.q({open_n81915,\ethernet_i0/miim_top_m0/miim_control_inst/state [3]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg2_b0|ethernet_i0/miim_top_m0/miim_control_inst/reg2_b11 (
.b({\ethernet_i0/_al_u115_o ,\ethernet_i0/_al_u115_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [0],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [11]}),
.ce(\ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u113_o ,\ethernet_i0/_al_u113_o }),
.q({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [0],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [11]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*D))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(C*~(B*D))"),
//.LUTG1("(C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0011000011110000),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg2_b10|ethernet_i0/miim_top_m0/miim_control_inst/reg2_b7 (
.b({\ethernet_i0/_al_u115_o ,\ethernet_i0/_al_u115_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [10],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [7]}),
.ce(\ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u113_o ,\ethernet_i0/_al_u113_o }),
.q({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [10],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [7]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg2_b12|ethernet_i0/miim_top_m0/miim_control_inst/reg2_b13 (
.b({\ethernet_i0/_al_u115_o ,\ethernet_i0/_al_u115_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [12],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [13]}),
.ce(\ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u113_o ,\ethernet_i0/_al_u113_o }),
.q({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [12],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [13]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*D))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(C*~(B*D))"),
//.LUTG1("(C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0011000011110000),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg2_b14|ethernet_i0/miim_top_m0/miim_control_inst/reg2_b15 (
.b({\ethernet_i0/_al_u115_o ,\ethernet_i0/_al_u115_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [14],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [15]}),
.ce(\ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u113_o ,\ethernet_i0/_al_u113_o }),
.q({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [14],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [15]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*D))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(C*~(B*D))"),
//.LUTG1("(C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0011000011110000),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg2_b16|ethernet_i0/miim_top_m0/miim_control_inst/reg2_b17 (
.b({\ethernet_i0/_al_u115_o ,\ethernet_i0/_al_u115_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [16],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [17]}),
.ce(\ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u113_o ,\ethernet_i0/_al_u113_o }),
.q({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [16],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [17]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg2_b18|ethernet_i0/miim_top_m0/miim_control_inst/reg2_b20 (
.b({\ethernet_i0/_al_u115_o ,\ethernet_i0/_al_u115_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [18],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [20]}),
.ce(\ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u113_o ,\ethernet_i0/_al_u113_o }),
.q({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [18],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [20]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*D))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(C*~(B*D))"),
//.LUTG1("(C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0011000011110000),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg2_b19|ethernet_i0/miim_top_m0/miim_control_inst/reg2_b23 (
.b({\ethernet_i0/_al_u115_o ,\ethernet_i0/_al_u115_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [19],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [23]}),
.ce(\ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u113_o ,\ethernet_i0/_al_u113_o }),
.q({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [19],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [23]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg2_b1|ethernet_i0/miim_top_m0/miim_control_inst/reg2_b2 (
.b({\ethernet_i0/_al_u115_o ,\ethernet_i0/_al_u115_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [1],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [2]}),
.ce(\ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u113_o ,\ethernet_i0/_al_u113_o }),
.q({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [1],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [2]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*D))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(C*~(B*D))"),
//.LUTG1("(C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0011000011110000),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg2_b21|ethernet_i0/miim_top_m0/miim_control_inst/reg2_b22 (
.b({\ethernet_i0/_al_u115_o ,\ethernet_i0/_al_u115_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [21],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [22]}),
.ce(\ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u113_o ,\ethernet_i0/_al_u113_o }),
.q({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [21],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [22]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg2_b3|ethernet_i0/miim_top_m0/miim_control_inst/reg2_b4 (
.b({\ethernet_i0/_al_u115_o ,\ethernet_i0/_al_u115_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [3],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [4]}),
.ce(\ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u113_o ,\ethernet_i0/_al_u113_o }),
.q({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [3],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [4]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg2_b5|ethernet_i0/miim_top_m0/miim_control_inst/reg2_b6 (
.b({\ethernet_i0/_al_u115_o ,\ethernet_i0/_al_u115_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [5],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [6]}),
.ce(\ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u113_o ,\ethernet_i0/_al_u113_o }),
.q({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [5],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [6]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*D))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(C*~(B*D))"),
//.LUTG1("(C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0011000011110000),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg2_b8|ethernet_i0/miim_top_m0/miim_control_inst/reg2_b9 (
.b({\ethernet_i0/_al_u115_o ,\ethernet_i0/_al_u115_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/n9 [8],\ethernet_i0/miim_top_m0/miim_control_inst/n9 [9]}),
.ce(\ethernet_i0/miim_top_m0/miim_control_inst/mux19_b0_sel_is_4_o ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u113_o ,\ethernet_i0/_al_u113_o }),
.q({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [8],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [9]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100001100100),
.INIT_LUT1(16'b0011011101110101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg3_b0 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/miim_top_m0/miim_control_inst/state [0]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [1],\ethernet_i0/miim_top_m0/miim_control_inst/state [1]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [2],\ethernet_i0/miim_top_m0/miim_control_inst/state [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/state [3],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.mi({open_n82191,\ethernet_i0/miim_top_m0/miim_register_address [0]}),
.q({open_n82198,\ethernet_i0/miim_top_m0/miim_register_address [0]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("~(~(~D*~B)*~(C*~A))"),
//.LUTG0("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("~(~(~D*~B)*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101011001100),
.INIT_LUTF1(16'b0101000001110011),
.INIT_LUTG0(16'b0101000011011100),
.INIT_LUTG1(16'b0101000001110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg3_b1|ethernet_i0/miim_top_m0/miim_control_inst/reg3_b2 (
.a({\ethernet_i0/_al_u97_o ,\ethernet_i0/_al_u103_o }),
.b({\ethernet_i0/_al_u98_o ,\ethernet_i0/miim_top_m0/miim_control_inst/mux13_b2/B2_0 }),
.c({\ethernet_i0/_al_u99_o ,\ethernet_i0/_al_u99_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/state [3],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.e({open_n82200,\ethernet_i0/miim_top_m0/miim_register_address [2]}),
.q({\ethernet_i0/miim_top_m0/miim_register_address [1],\ethernet_i0/miim_top_m0/miim_register_address [2]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010101000110011),
.INIT_LUT1(16'b0101000001110011),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg3_b3 (
.a({\ethernet_i0/_al_u106_o ,\ethernet_i0/_al_u106_o }),
.b({\ethernet_i0/_al_u107_o ,\ethernet_i0/_al_u107_o }),
.c({\ethernet_i0/_al_u99_o ,\ethernet_i0/_al_u99_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/state [3],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.mi({open_n82232,\ethernet_i0/miim_top_m0/miim_register_address [3]}),
.q({open_n82239,\ethernet_i0/miim_top_m0/miim_register_address [3]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(A*B*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(A*B*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0011111111111001),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg4_b12 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/miim_top_m0/miim_control_inst/state [0]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [1],\ethernet_i0/miim_top_m0/miim_control_inst/state [1]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [2],\ethernet_i0/miim_top_m0/miim_control_inst/state [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/state [3],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.mi({open_n82251,\ethernet_i0/miim_top_m0/miim_data_write [15]}),
.q({open_n82258,\ethernet_i0/miim_top_m0/miim_data_write [15]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0011111111111011),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg4_b6 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/miim_top_m0/miim_control_inst/state [0]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [1],\ethernet_i0/miim_top_m0/miim_control_inst/state [1]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [2],\ethernet_i0/miim_top_m0/miim_control_inst/state [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/state [3],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.mi({open_n82270,\ethernet_i0/miim_top_m0/miim_data_write [8]}),
.q({open_n82277,\ethernet_i0/miim_top_m0/miim_data_write [8]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000100),
.INIT_LUT1(16'b0011111111110101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg4_b9 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/state [0],\ethernet_i0/miim_top_m0/miim_control_inst/state [0]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/state [1],\ethernet_i0/miim_top_m0/miim_control_inst/state [1]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [2],\ethernet_i0/miim_top_m0/miim_control_inst/state [2]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/state [3],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.mi({open_n82289,\ethernet_i0/miim_top_m0/miim_data_write [9]}),
.q({open_n82296,\ethernet_i0/miim_top_m0/miim_data_write [9]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*D*~((0*C))+~(A)*B*D*~((0*C))+A*B*D*~((0*C))+~(A)*B*~(D)*(0*C)+A*B*~(D)*(0*C)+A*~(B)*D*(0*C)+~(A)*B*D*(0*C)+A*B*D*(0*C))"),
//.LUT1("(A*~(B)*D*~((1*C))+~(A)*B*D*~((1*C))+A*B*D*~((1*C))+~(A)*B*~(D)*(1*C)+A*B*~(D)*(1*C)+A*~(B)*D*(1*C)+~(A)*B*D*(1*C)+A*B*D*(1*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111000000000),
.INIT_LUT1(16'b1110111011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg5_b0 (
.a({\ethernet_i0/_al_u166_o ,\ethernet_i0/_al_u166_o }),
.b({\ethernet_i0/_al_u170_o ,\ethernet_i0/_al_u170_o }),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/state [1],\ethernet_i0/miim_top_m0/miim_control_inst/state [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [0],\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [0]}),
.mi({open_n82308,\ethernet_i0/miim_top_m0/miim_ack }),
.q({open_n82315,\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [0]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
// ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*~(0*C*B)))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(~A*~(D*~(1*C*B)))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000001010101),
.INIT_LUTF1(16'b1011101100110000),
.INIT_LUTG0(16'b0100000001010101),
.INIT_LUTG1(16'b1011101100110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg5_b1|ethernet_i0/miim_top_m0/miim_control_inst/reg0_b0 (
.a({\ethernet_i0/_al_u166_o ,\ethernet_i0/_al_u190_o }),
.b({\ethernet_i0/_al_u171_o ,\ethernet_i0/_al_u113_o }),
.c({\ethernet_i0/_al_u164_o ,\ethernet_i0/_al_u115_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [1],\ethernet_i0/_al_u192_o }),
.e({open_n82317,\ethernet_i0/_al_u73_o }),
.q({\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [1],\ethernet_i0/miim_top_m0/miim_control_inst/state [0]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010101000000000),
.INIT_LUT1(16'b1111101011110011),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_control_inst/reg5_b3 (
.a({\ethernet_i0/_al_u163_o ,\ethernet_i0/_al_u163_o }),
.b({\ethernet_i0/_al_u164_o ,\ethernet_i0/_al_u164_o }),
.c({\ethernet_i0/_al_u99_o ,\ethernet_i0/_al_u99_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/state [3],\ethernet_i0/miim_top_m0/miim_control_inst/state [3]}),
.mi({open_n82349,\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [3]}),
.q({open_n82356,\ethernet_i0/miim_top_m0/miim_control_inst/after_ack_state [3]})); // ../rtl/Ethernet/sources_1/imports/miim/miim_control.vhd(123)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_inst/add1/u0|miim_top_m0/miim_inst/add1/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_inst/add1/u0|miim_top_m0/miim_inst/add1/ucin (
.a({\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [0],1'b0}),
.b({1'b1,open_n82357}),
.f({\ethernet_i0/miim_top_m0/miim_inst/n34 [0],open_n82377}),
.fco(\ethernet_i0/miim_top_m0/miim_inst/add1/c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_inst/add1/u0|miim_top_m0/miim_inst/add1/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_inst/add1/u2|miim_top_m0/miim_inst/add1/u1 (
.a(\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [2:1]),
.b(2'b00),
.fci(\ethernet_i0/miim_top_m0/miim_inst/add1/c1 ),
.f(\ethernet_i0/miim_top_m0/miim_inst/n34 [2:1]),
.fco(\ethernet_i0/miim_top_m0/miim_inst/add1/c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_inst/add1/u0|miim_top_m0/miim_inst/add1/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_inst/add1/u4|miim_top_m0/miim_inst/add1/u3 (
.a(\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [4:3]),
.b(2'b00),
.fci(\ethernet_i0/miim_top_m0/miim_inst/add1/c3 ),
.f(\ethernet_i0/miim_top_m0/miim_inst/n34 [4:3]),
.fco(\ethernet_i0/miim_top_m0/miim_inst/add1/c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_inst/add1/u0|miim_top_m0/miim_inst/add1/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_inst/add1/u5_al_u204 (
.a({open_n82426,\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [5]}),
.b({open_n82427,1'b0}),
.fci(\ethernet_i0/miim_top_m0/miim_inst/add1/c5 ),
.f({open_n82446,\ethernet_i0/miim_top_m0/miim_inst/n34 [5]}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_inst/lt0_0|miim_top_m0/miim_inst/lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_inst/lt0_0|miim_top_m0/miim_inst/lt0_cin (
.a(2'b11),
.b({\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [0],open_n82452}),
.fco(\ethernet_i0/miim_top_m0/miim_inst/lt0_c1 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_inst/lt0_0|miim_top_m0/miim_inst/lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_inst/lt0_2|miim_top_m0/miim_inst/lt0_1 (
.a(2'b00),
.b(\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [2:1]),
.fci(\ethernet_i0/miim_top_m0/miim_inst/lt0_c1 ),
.fco(\ethernet_i0/miim_top_m0/miim_inst/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_inst/lt0_0|miim_top_m0/miim_inst/lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_inst/lt0_4|miim_top_m0/miim_inst/lt0_3 (
.a(2'b11),
.b(\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [4:3]),
.fci(\ethernet_i0/miim_top_m0/miim_inst/lt0_c3 ),
.fco(\ethernet_i0/miim_top_m0/miim_inst/lt0_c5 ));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_inst/lt0_0|miim_top_m0/miim_inst/lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\ethernet_i0/miim_top_m0/miim_inst/lt0_cout|miim_top_m0/miim_inst/lt0_5 (
.a(2'b00),
.b({1'b1,\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [5]}),
.fci(\ethernet_i0/miim_top_m0/miim_inst/lt0_c5 ),
.f({\ethernet_i0/miim_top_m0/miim_inst/n2 ,open_n82544}));
EG_PHY_MSLICE #(
//.LUT0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*~(C)*D*~(0)+~(A)*C*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+~(A)*C*D*0+A*C*D*0))"),
//.LUT1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*~(C)*D*~(1)+~(A)*C*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+~(A)*C*D*1+A*C*D*1))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000110010000000),
.INIT_LUT1(16'b1100110011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_inst/reg0_b1 (
.a({\ethernet_i0/_al_u179_o ,\ethernet_i0/_al_u179_o }),
.b({\ethernet_i0/_al_u174_o ,\ethernet_i0/_al_u174_o }),
.c({\ethernet_i0/miim_top_m0/miim_inst/state [0],\ethernet_i0/miim_top_m0/miim_inst/state [0]}),
.ce(\ethernet_i0/miim_top_m0/miim_inst/n32 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_inst/state [1],\ethernet_i0/miim_top_m0/miim_inst/state [1]}),
.mi({open_n82560,\ethernet_i0/miim_top_m0/miim_inst/state [2]}),
.q({open_n82567,\ethernet_i0/miim_top_m0/miim_inst/state [1]})); // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_inst/reg1_b0|miim_top_m0/miim_inst/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\ethernet_i0/miim_top_m0/miim_inst/reg1_b0|miim_top_m0/miim_inst/add0/ucin (
.a({\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [0],1'b0}),
.b({1'b1,open_n82568}),
.clk(\ethernet_i0/gmii_rx_clk ),
.sr(\ethernet_i0/miim_top_m0/miim_inst/n29 ),
.fco(\ethernet_i0/miim_top_m0/miim_inst/add0/c1 ),
.q({\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [0],open_n82590}));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_inst/reg1_b0|miim_top_m0/miim_inst/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\ethernet_i0/miim_top_m0/miim_inst/reg1_b2|miim_top_m0/miim_inst/reg1_b1 (
.a(\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [2:1]),
.b(2'b00),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/miim_top_m0/miim_inst/add0/c1 ),
.sr(\ethernet_i0/miim_top_m0/miim_inst/n29 ),
.fco(\ethernet_i0/miim_top_m0/miim_inst/add0/c3 ),
.q(\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [2:1]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_inst/reg1_b0|miim_top_m0/miim_inst/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\ethernet_i0/miim_top_m0/miim_inst/reg1_b4|miim_top_m0/miim_inst/reg1_b3 (
.a(\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [4:3]),
.b(2'b00),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/miim_top_m0/miim_inst/add0/c3 ),
.sr(\ethernet_i0/miim_top_m0/miim_inst/n29 ),
.fco(\ethernet_i0/miim_top_m0/miim_inst/add0/c5 ),
.q(\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [4:3]));
EG_PHY_MSLICE #(
//.MACRO("ethernet_i0/miim_top_m0/miim_inst/reg1_b0|miim_top_m0/miim_inst/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\ethernet_i0/miim_top_m0/miim_inst/reg1_b5_al_u203 (
.a({open_n82631,\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [5]}),
.b({open_n82632,1'b0}),
.clk(\ethernet_i0/gmii_rx_clk ),
.fci(\ethernet_i0/miim_top_m0/miim_inst/add0/c5 ),
.sr(\ethernet_i0/miim_top_m0/miim_inst/n29 ),
.q({open_n82654,\ethernet_i0/miim_top_m0/miim_inst/clock_divide_counter [5]}));
// ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
// ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*~B)*~(C*A))"),
//.LUTF1("~(~(D*~B)*~(C*A))"),
//.LUTG0("~(~(D*~B)*~(C*A))"),
//.LUTG1("~(~(D*~B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011001110100000),
.INIT_LUTF1(16'b1011001110100000),
.INIT_LUTG0(16'b1011001110100000),
.INIT_LUTG1(16'b1011001110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_inst/reg2_b0|ethernet_i0/miim_top_m0/miim_inst/reg2_b1 (
.a({\ethernet_i0/_al_u86_o ,\ethernet_i0/_al_u86_o }),
.b({\ethernet_i0/_al_u87_o ,\ethernet_i0/_al_u87_o }),
.c({\ethernet_i0/miim_top_m0/miim_inst/n34 [0],\ethernet_i0/miim_top_m0/miim_inst/n34 [1]}),
.ce(\ethernet_i0/miim_top_m0/miim_inst/n32 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [0],\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [1]}),
.q({\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [0],\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [1]})); // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
// ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
// ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*~B)*~(C*A))"),
//.LUTF1("~(~(D*~B)*~(C*A))"),
//.LUTG0("~(~(D*~B)*~(C*A))"),
//.LUTG1("~(~(D*~B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011001110100000),
.INIT_LUTF1(16'b1011001110100000),
.INIT_LUTG0(16'b1011001110100000),
.INIT_LUTG1(16'b1011001110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_inst/reg2_b4|ethernet_i0/miim_top_m0/miim_inst/reg2_b3 (
.a({\ethernet_i0/_al_u86_o ,\ethernet_i0/_al_u86_o }),
.b({\ethernet_i0/_al_u87_o ,\ethernet_i0/_al_u87_o }),
.c(\ethernet_i0/miim_top_m0/miim_inst/n34 [4:3]),
.ce(\ethernet_i0/miim_top_m0/miim_inst/n32 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d(\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [4:3]),
.q(\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [4:3])); // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
// ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
// ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
EG_PHY_MSLICE #(
//.LUT0("~(~(D*~B)*~(C*A))"),
//.LUT1("~(~(D*~B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011001110100000),
.INIT_LUT1(16'b1011001110100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_inst/reg2_b5|ethernet_i0/miim_top_m0/miim_inst/reg2_b2 (
.a({\ethernet_i0/_al_u86_o ,\ethernet_i0/_al_u86_o }),
.b({\ethernet_i0/_al_u87_o ,\ethernet_i0/_al_u87_o }),
.c({\ethernet_i0/miim_top_m0/miim_inst/n34 [5],\ethernet_i0/miim_top_m0/miim_inst/n34 [2]}),
.ce(\ethernet_i0/miim_top_m0/miim_inst/n32 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [5],\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [2]}),
.q({\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [5],\ethernet_i0/miim_top_m0/miim_inst/command_bit_position [2]})); // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
// ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
// ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(D)*~(B)*~(C)+~(D)*B*~(C)+D*B*~(C)+~(D)*B*C)"),
//.LUTG0("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(D)*~(B)*~(C)+~(D)*B*~(C)+D*B*~(C)+~(D)*B*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011011100),
.INIT_LUTF1(16'b0000110011001111),
.INIT_LUTG0(16'b1100110011001111),
.INIT_LUTG1(16'b0000110011001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_inst/reg3_b1|ethernet_i0/miim_top_m0/miim_inst/reg3_b0 (
.a({open_n82717,\ethernet_i0/_al_u147_o }),
.b({\ethernet_i0/_al_u81_o ,\ethernet_i0/_al_u81_o }),
.c({\ethernet_i0/miim_top_m0/miim_ack ,\ethernet_i0/_al_u143_o }),
.ce(\ethernet_i0/miim_top_m0/miim_inst/n32 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/_al_u148_o ,\ethernet_i0/miim_top_m0/miim_ack }),
.e({open_n82718,\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [0]}),
.q(\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [1:0])); // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
// ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
// ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~((~D*A))*~(0)+B*~(C)*(~D*A)*~(0)+~(B)*~(C)*~((~D*A))*0+B*~(C)*~((~D*A))*0+B*C*~((~D*A))*0+B*~(C)*(~D*A)*0+B*C*(~D*A)*0)"),
//.LUTF1("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(B*~(C)*~((~D*A))*~(1)+B*~(C)*(~D*A)*~(1)+~(B)*~(C)*~((~D*A))*1+B*~(C)*~((~D*A))*1+B*C*~((~D*A))*1+B*~(C)*(~D*A)*1+B*C*(~D*A)*1)"),
//.LUTG1("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110000001100),
.INIT_LUTF1(16'b1100110100001100),
.INIT_LUTG0(16'b1100111111001101),
.INIT_LUTG1(16'b1100110100001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/miim_top_m0/miim_inst/reg3_b2|ethernet_i0/miim_top_m0/miim_inst/reg3_b3 (
.a({\ethernet_i0/_al_u144_o ,\ethernet_i0/_al_u144_o }),
.b({\ethernet_i0/_al_u81_o ,\ethernet_i0/_al_u81_o }),
.c({\ethernet_i0/miim_top_m0/miim_ack ,\ethernet_i0/miim_top_m0/miim_ack }),
.ce(\ethernet_i0/miim_top_m0/miim_inst/n32 ),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [2],\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [2]}),
.e({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [3],\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [3]}),
.q({\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [2],\ethernet_i0/miim_top_m0/miim_inst/data_bit_position [3]})); // ../rtl/Ethernet/sources_1/imports/miim/miim.vhd(142)
EG_PHY_PAD #(
//.CLKSRC("CLK"),
//.HYSTERESIS("OFF"),
//.LOCATION("L3"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IDDRMODE("DDRX1"),
.IDDRPIPEMODE("PIPED"),
.INCEMUX("1"),
.INPCLKMUX("CLK"),
.INRSTMUX("RST"),
.IN_DFFMODE("FF"),
.IN_REGSET("RESET"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.SRMODE("ASYNC"),
.TSMUX("1"))
\ethernet_i0/util_gmii_to_rgmii_m0/[0]$urxd/iddr_IN (
.ipad(rgmii_rxd[0]),
.ipclk(\ethernet_i0/gmii_rx_clk ),
.rst(1'b0),
.diq({open_n82769,open_n82770,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [4],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [0]})); // ../rtl/Ethernet/al_ip/IDDR.v(24)
EG_PHY_PAD #(
//.CLKSRC("CLK"),
//.HYSTERESIS("OFF"),
//.LOCATION("J6"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IDDRMODE("DDRX1"),
.IDDRPIPEMODE("PIPED"),
.INCEMUX("1"),
.INPCLKMUX("CLK"),
.INRSTMUX("RST"),
.IN_DFFMODE("FF"),
.IN_REGSET("RESET"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.SRMODE("ASYNC"),
.TSMUX("1"))
\ethernet_i0/util_gmii_to_rgmii_m0/[1]$urxd/iddr_IN (
.ipad(rgmii_rxd[1]),
.ipclk(\ethernet_i0/gmii_rx_clk ),
.rst(1'b0),
.diq({open_n82783,open_n82784,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [5],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [1]})); // ../rtl/Ethernet/al_ip/IDDR.v(24)
EG_PHY_PAD #(
//.CLKSRC("CLK"),
//.HYSTERESIS("OFF"),
//.LOCATION("K3"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IDDRMODE("DDRX1"),
.IDDRPIPEMODE("PIPED"),
.INCEMUX("1"),
.INPCLKMUX("CLK"),
.INRSTMUX("RST"),
.IN_DFFMODE("FF"),
.IN_REGSET("RESET"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.SRMODE("ASYNC"),
.TSMUX("1"))
\ethernet_i0/util_gmii_to_rgmii_m0/[2]$urxd/iddr_IN (
.ipad(rgmii_rxd[2]),
.ipclk(\ethernet_i0/gmii_rx_clk ),
.rst(1'b0),
.diq({open_n82797,open_n82798,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [6],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [2]})); // ../rtl/Ethernet/al_ip/IDDR.v(24)
EG_PHY_PAD #(
//.CLKSRC("CLK"),
//.HYSTERESIS("OFF"),
//.LOCATION("K5"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IDDRMODE("DDRX1"),
.IDDRPIPEMODE("PIPED"),
.INCEMUX("1"),
.INPCLKMUX("CLK"),
.INRSTMUX("RST"),
.IN_DFFMODE("FF"),
.IN_REGSET("RESET"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.SRMODE("ASYNC"),
.TSMUX("1"))
\ethernet_i0/util_gmii_to_rgmii_m0/[3]$urxd/iddr_IN (
.ipad(rgmii_rxd[3]),
.ipclk(\ethernet_i0/gmii_rx_clk ),
.rst(1'b0),
.diq({open_n82811,open_n82812,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [7],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [3]})); // ../rtl/Ethernet/al_ip/IDDR.v(24)
EG_PHY_GCLK \ethernet_i0/util_gmii_to_rgmii_m0/bufmr_rgmii_rxc/bufg (
.clki(\ethernet_i0/util_gmii_to_rgmii_m0/n0 ),
.clko(\ethernet_i0/gmii_rx_clk )); // ../rtl/Ethernet/al_ip/BUFG.v(18)
EG_PHY_PAD #(
//.CLKSRC("CLK"),
//.LOCATION("H5"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DO_DFFMODE("FF"),
.DO_REGSET("RESET"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.ODDRMODE("DDRX1"),
.OUTRSTMUX("RST"),
.OUTSCLKMUX("CLK"),
.SRMODE("ASYNC"),
.TSMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/gen_tx_data[0]$utxd/oddr_DO (
.do({open_n82816,open_n82817,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_low [0],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r_d1 [0]}),
.osclk(\ethernet_i0/gmii_rx_clk ),
.rst(1'b0),
.opad(rgmii_txd[0])); // ../rtl/Ethernet/al_ip/ODDR.v(23)
EG_PHY_PAD #(
//.CLKSRC("CLK"),
//.LOCATION("G6"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DO_DFFMODE("FF"),
.DO_REGSET("RESET"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.ODDRMODE("DDRX1"),
.OUTRSTMUX("RST"),
.OUTSCLKMUX("CLK"),
.SRMODE("ASYNC"),
.TSMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/gen_tx_data[1]$utxd/oddr_DO (
.do({open_n82830,open_n82831,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_low [1],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r_d1 [1]}),
.osclk(\ethernet_i0/gmii_rx_clk ),
.rst(1'b0),
.opad(rgmii_txd[1])); // ../rtl/Ethernet/al_ip/ODDR.v(23)
EG_PHY_PAD #(
//.CLKSRC("CLK"),
//.LOCATION("H4"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DO_DFFMODE("FF"),
.DO_REGSET("RESET"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.ODDRMODE("DDRX1"),
.OUTRSTMUX("RST"),
.OUTSCLKMUX("CLK"),
.SRMODE("ASYNC"),
.TSMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/gen_tx_data[2]$utxd/oddr_DO (
.do({open_n82844,open_n82845,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_low [2],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r_d1 [2]}),
.osclk(\ethernet_i0/gmii_rx_clk ),
.rst(1'b0),
.opad(rgmii_txd[2])); // ../rtl/Ethernet/al_ip/ODDR.v(23)
EG_PHY_PAD #(
//.CLKSRC("CLK"),
//.LOCATION("G5"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DO_DFFMODE("FF"),
.DO_REGSET("RESET"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.ODDRMODE("DDRX1"),
.OUTRSTMUX("RST"),
.OUTSCLKMUX("CLK"),
.SRMODE("ASYNC"),
.TSMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/gen_tx_data[3]$utxd/oddr_DO (
.do({open_n82858,open_n82859,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_low [3],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r_d1 [3]}),
.osclk(\ethernet_i0/gmii_rx_clk ),
.rst(1'b0),
.opad(rgmii_txd[3])); // ../rtl/Ethernet/al_ip/ODDR.v(23)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/gmii_tx_en_r_reg|ethernet_i0/util_gmii_to_rgmii_m0/gmii_tx_en_r_d1_reg (
.a({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [2],\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [0]}),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [3],\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [1]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [4],\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [10]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [5],\ethernet_i0/mac_test0/mac_top0/icmp0/timeout [11]}),
.mi({\ethernet_i0/gmii_tx_en ,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_tx_en_r }),
.f({\ethernet_i0/mac_test0/mac_top0/_al_u587_o ,\ethernet_i0/mac_test0/mac_top0/_al_u589_o }),
.q({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_tx_en_r ,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_tx_en_r_d1 })); // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(91)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/reg0_b0|ethernet_i0/util_gmii_to_rgmii_m0/reg2_b0 (
.a({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [0],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [2]}),
.b({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [1],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [3]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [10],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [15],\ethernet_i0/mac_test0/mac_top0/mac_tx0/mac0/timeout [5]}),
.mi({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [4],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [0]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1075_o ,\ethernet_i0/mac_test0/mac_top0/mac_tx0/_al_u1073_o }),
.q({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_low [0],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r_d1 [0]})); // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(91)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/reg0_b2|ethernet_i0/util_gmii_to_rgmii_m0/reg2_b2 (
.a({open_n82909,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [26]}),
.b({open_n82910,\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [27]}),
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [7],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [4]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_result [24],\ethernet_i0/mac_test0/mac_top0/mac_rx0/crc_din [5]}),
.mi({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [6],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u507_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u515_o }),
.q({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_low [2],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r_d1 [2]})); // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(91)
EG_PHY_MSLICE #(
//.LUT0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010001010000000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/reg0_b3|ethernet_i0/util_gmii_to_rgmii_m0/reg1_b5 (
.a({open_n82926,\ethernet_i0/mac_test0/mac_top0/_al_u624_o }),
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [20],\ethernet_i0/mac_test0/mac_top0/icmp0/mux25_b0_sel_is_2_o }),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [28],\ethernet_i0/mac_test0/mac_top0/icmp0/n107 [31]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [31]}),
.mi({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [7],\ethernet_i0/gmii_txd [5]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b28/B1_1 ,\ethernet_i0/mac_test0/mac_top0/_al_u650_o }),
.q({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_low [3],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [5]})); // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/reg1_b1|ethernet_i0/util_gmii_to_rgmii_m0/reg1_b3 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [21],\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [22]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [29],\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [30]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/_al_u322_o }),
.mi({\ethernet_i0/gmii_txd [1],\ethernet_i0/gmii_txd [3]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b29/B1_1 ,\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b30/B1_1 }),
.q({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [1],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [3]})); // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
EG_PHY_LSLICE #(
//.LUTF0("~(C@D)"),
//.LUTF1("(C@D)"),
//.LUTG0("~(C@D)"),
//.LUTG1("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000001111),
.INIT_LUTF1(16'b0000111111110000),
.INIT_LUTG0(16'b1111000000001111),
.INIT_LUTG1(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/reg1_b6|ethernet_i0/util_gmii_to_rgmii_m0/reg1_b2 (
.c({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u893_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u589_o }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u892_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u507_o }),
.mi({\ethernet_i0/gmii_txd [6],\ethernet_i0/gmii_txd [2]}),
.f({\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u894_o ,\ethernet_i0/mac_test0/mac_top0/mac_rx0/_al_u892_o }),
.q({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [6],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [2]})); // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C@B))"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100001100000000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/reg2_b3|ethernet_i0/util_gmii_to_rgmii_m0/reg2_b1 (
.b({\ethernet_i0/mac_test0/mac_top0/icmp0/n111 [23],\ethernet_i0/mac_test0/mac_top0/icmp0/state [0]}),
.c({\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [31],\ethernet_i0/mac_test0/mac_top0/icmp0/state [1]}),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\ethernet_i0/mac_test0/mac_top0/_al_u322_o ,\ethernet_i0/mac_test0/mac_top0/icmp0/reply_checksum_tmp [31]}),
.mi({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [3],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r [1]}),
.f({\ethernet_i0/mac_test0/mac_top0/icmp0/mux29_oh_b31/B1_1 ,\ethernet_i0/mac_test0/mac_top0/_al_u652_o }),
.q({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r_d1 [3],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_txd_r_d1 [1]})); // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(99)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/reg3_b0|ethernet_i0/util_gmii_to_rgmii_m0/reg3_b4 (
.a({\u_logic/Kmqow6 ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/Xpqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r3_o[20] ,\u_logic/vis_r7_o[20] }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\u_logic/vis_r6_o[20] ,\u_logic/vis_r4_o[20] }),
.mi({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [0],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [4]}),
.f({\u_logic/_al_u526_o ,\u_logic/_al_u258_o }),
.q({\ethernet_i0/gmii_rxd [0],\ethernet_i0/gmii_rxd [4]})); // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/reg3_b1|ethernet_i0/util_gmii_to_rgmii_m0/reg3_b5 (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Gkqow6 }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r8_o[20] ,\u_logic/vis_r8_o[20] }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\u_logic/vis_r10_o[20] ,\u_logic/vis_r10_o[20] }),
.mi({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [1],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [5]}),
.f({\u_logic/_al_u800_o ,\u_logic/_al_u1324_o }),
.q({\ethernet_i0/gmii_rxd [1],\ethernet_i0/gmii_rxd [5]})); // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/reg3_b2|ethernet_i0/util_gmii_to_rgmii_m0/reg3_b6 (
.a({\u_logic/Gkqow6 ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Sjqow6 ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r8_o[19] ,\u_logic/vis_r8_o[19] }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\u_logic/vis_r10_o[19] ,\u_logic/vis_r10_o[19] }),
.mi({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [2],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [6]}),
.f({\u_logic/_al_u1317_o ,\u_logic/_al_u793_o }),
.q({\ethernet_i0/gmii_rxd [2],\ethernet_i0/gmii_rxd [6]})); // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/reg3_b3|ethernet_i0/util_gmii_to_rgmii_m0/reg3_b7 (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Gkqow6 }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r8_o[29] ,\u_logic/vis_r8_o[29] }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\u_logic/vis_r10_o[29] ,\u_logic/vis_r10_o[29] }),
.mi({\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [3],\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rxd_s [7]}),
.f({\u_logic/_al_u863_o ,\u_logic/_al_u1406_o }),
.q({\ethernet_i0/gmii_rxd [3],\ethernet_i0/gmii_rxd [7]})); // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
EG_PHY_PAD #(
//.CLKSRC("CLK"),
//.HYSTERESIS("OFF"),
//.LOCATION("L4"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IDDRMODE("DDRX1"),
.IDDRPIPEMODE("PIPED"),
.INCEMUX("1"),
.INPCLKMUX("CLK"),
.INRSTMUX("RST"),
.IN_DFFMODE("FF"),
.IN_REGSET("RESET"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.SRMODE("ASYNC"),
.TSMUX("1"))
\ethernet_i0/util_gmii_to_rgmii_m0/urx_ctl/iddr_IN (
.ipad(rgmii_rxctl),
.ipclk(\ethernet_i0/gmii_rx_clk ),
.rst(1'b0),
.diq({open_n83081,open_n83082,open_n83083,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rx_dv_s })); // ../rtl/Ethernet/al_ip/IDDR.v(24)
EG_PHY_PAD #(
//.CLKSRC("CLK"),
//.LOCATION("G3"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DO_DFFMODE("FF"),
.DO_REGSET("RESET"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.ODDRMODE("DDRX1"),
.OUTRSTMUX("RST"),
.OUTSCLKMUX("CLK"),
.SRMODE("ASYNC"),
.TSMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/utx_ctl/oddr_DO (
.do({open_n83087,open_n83088,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_tx_en_r_d1 ,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_tx_en_r_d1 }),
.osclk(\ethernet_i0/gmii_rx_clk ),
.rst(1'b0),
.opad(rgmii_txctl)); // ../rtl/Ethernet/al_ip/ODDR.v(23)
EG_PHY_PAD #(
//.CLKSRC("CLK"),
//.LOCATION("H3"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DO_DFFMODE("FF"),
.DO_REGSET("RESET"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.ODDRMODE("DDRX1"),
.OUTRSTMUX("RST"),
.OUTSCLKMUX("CLK"),
.SRMODE("ASYNC"),
.TSMUX("0"))
\ethernet_i0/util_gmii_to_rgmii_m0/utx_txc/oddr_DO (
.do({open_n83101,open_n83102,2'b01}),
.osclk(\ethernet_i0/gmii_rx_clk ),
.rst(1'b0),
.opad(rgmii_txc)); // ../rtl/Ethernet/al_ip/ODDR.v(23)
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
.INIT_LUTF1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
//.LUTG0("(C)"),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u777 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
//.LUTG0("(C)"),
//.LUTG1("(B)"),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u778 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
.INIT_LUTF1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u780 ();
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
.INIT_LUTF1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u781 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
.INIT_LUTF1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u783 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
.INIT_LUTF0(16'b1111111100000000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u785 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u786 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u787 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
.INIT_LUTF0(16'b1111111100000000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u789 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u791 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u793 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u794 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG0("(A)"),
//.LUTG1("(A)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u796 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u800 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u806 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
.INIT_LUTF0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u810 ();
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
//.LUTG0("(C)"),
//.LUTG1("(C)"),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u812 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
//.LUTG1("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u814 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u819 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTF1("(D)"),
//.LUTG0("(A)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u820 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
//.LUTG0("(A)"),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u822 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u823 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u824 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u826 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u829 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u833 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
.INIT_LUTF1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u834 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
.INIT_LUTF1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u836 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
.INIT_LUTF1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u837 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
//.LUTG1("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u840 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u842 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u846 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
//.LUTG1("(C)"),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u847 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
.INIT_LUTF1(16'b1111000011110000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u851 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTF1("(D)"),
//.LUTG1("(C)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u852 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
//.LUTG1("(D)"),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u853 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
//.LUTG1("(D)"),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u855 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u860 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
//.LUTG1("(B)"),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u863 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
.INIT_LUTF1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u864 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTG0("(B)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTG0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u866 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
//.LUTG0("(A)"),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u867 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
.INIT_LUTF1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u869 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTF1("(D)"),
//.LUTG0("(A)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u870 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u871 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u872 ();
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u873 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
//.LUTG1("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u874 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
//.LUTG0("(B)"),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1100110011001100),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u879 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u882 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
//.LUTG1("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u883 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u889 ();
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
.INIT_LUTF1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u891 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u899 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
//.LUTG0("(D)"),
//.LUTG1("(A)"),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u902 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u903 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u904 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
//.LUTG0("(A)"),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u905 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u906 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u909 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u911 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u912 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u913 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u914 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u915 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTF1("(C)"),
//.LUTG0("(B)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u916 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
.INIT_LUTF1(16'b1111000011110000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u918 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u919 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u920 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u928 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u930 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u931 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG1("(C)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u934 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u936 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
.INIT_LUTF0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u938 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u944 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u948 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u949 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u950 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u951 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG0("(A)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u952 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u953 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
.INIT_LUTF1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u955 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTF1("(C)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u957 ();
EG_PHY_LSLICE #(
//.LUTG1("(D)"),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u960 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(B)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u961 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u962 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u963 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
.INIT_LUTF1(16'b1111000011110000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u967 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
.INIT_LUTF0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u970 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
//.LUTG1("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u973 ();
EG_PHY_LSLICE #(
//.LUTG1("(D)"),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u974 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u976 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
.INIT_LUTF0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u977 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u981 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u986 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u987 ();
EG_PHY_LSLICE #(
//.LUTG1("(D)"),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u988 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u992 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u993 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u779 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u782 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u784 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u788 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u790 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u792 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u795 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u797 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u798 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u799 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u801 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u802 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u803 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u804 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u805 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u807 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u808 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u809 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u811 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u813 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u815 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u816 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u817 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u818 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u821 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u825 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u827 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u828 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u830 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u831 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u832 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u835 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u838 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u839 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u841 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u843 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u844 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u845 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u848 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u849 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u850 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u854 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u856 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u857 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u858 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u859 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u861 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u862 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u865 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u868 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u875 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u876 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u877 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u878 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u880 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u881 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u884 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u885 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u886 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u887 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u888 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u890 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u892 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u893 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u894 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u895 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u896 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u897 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u898 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u900 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u901 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u907 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u908 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u910 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u917 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u921 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u922 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u923 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u924 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u925 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u926 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u927 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u929 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u932 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u933 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u935 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u937 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u939 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u940 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u941 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u942 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u943 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u945 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u946 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u947 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u954 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u956 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u958 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u959 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u964 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u965 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u966 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u968 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u969 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u971 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u972 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u975 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u978 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u979 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u980 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u982 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u983 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u984 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u985 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u989 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u990 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u991 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u994 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u995 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u996 ();
EG_PHY_LSLICE #(
//.MACRO("filter_unit/add0/ucin_al_u764"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\filter_unit/add0/u11_al_u767 (
.a({\filter_unit/cnt [13],\filter_unit/cnt [11]}),
.b({\filter_unit/cnt [14],\filter_unit/cnt [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\filter_unit/add0/c11 ),
.f({\filter_unit/n0 [13],\filter_unit/n0 [11]}),
.fco(\filter_unit/add0/c15 ),
.fx({\filter_unit/n0 [14],\filter_unit/n0 [12]}));
EG_PHY_LSLICE #(
//.MACRO("filter_unit/add0/ucin_al_u764"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\filter_unit/add0/u15_al_u768 (
.a({\filter_unit/cnt [17],\filter_unit/cnt [15]}),
.b({\filter_unit/cnt [18],\filter_unit/cnt [16]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\filter_unit/add0/c15 ),
.f({\filter_unit/n0 [17],\filter_unit/n0 [15]}),
.fco(\filter_unit/add0/c19 ),
.fx({\filter_unit/n0 [18],\filter_unit/n0 [16]}));
EG_PHY_LSLICE #(
//.MACRO("filter_unit/add0/ucin_al_u764"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\filter_unit/add0/u19_al_u769 (
.a({open_n90214,\filter_unit/cnt [19]}),
.c(2'b00),
.d({open_n90219,1'b0}),
.fci(\filter_unit/add0/c19 ),
.f({open_n90236,\filter_unit/n0 [19]}));
EG_PHY_LSLICE #(
//.MACRO("filter_unit/add0/ucin_al_u764"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\filter_unit/add0/u3_al_u765 (
.a({\filter_unit/cnt [5],\filter_unit/cnt [3]}),
.b({\filter_unit/cnt [6],\filter_unit/cnt [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\filter_unit/add0/c3 ),
.f({\filter_unit/n0 [5],\filter_unit/n0 [3]}),
.fco(\filter_unit/add0/c7 ),
.fx({\filter_unit/n0 [6],\filter_unit/n0 [4]}));
EG_PHY_LSLICE #(
//.MACRO("filter_unit/add0/ucin_al_u764"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\filter_unit/add0/u7_al_u766 (
.a({\filter_unit/cnt [9],\filter_unit/cnt [7]}),
.b({\filter_unit/cnt [10],\filter_unit/cnt [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\filter_unit/add0/c7 ),
.f({\filter_unit/n0 [9],\filter_unit/n0 [7]}),
.fco(\filter_unit/add0/c11 ),
.fx({\filter_unit/n0 [10],\filter_unit/n0 [8]}));
EG_PHY_LSLICE #(
//.MACRO("filter_unit/add0/ucin_al_u764"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\filter_unit/add0/ucin_al_u764 (
.a({\filter_unit/cnt [1],1'b0}),
.b({\filter_unit/cnt [2],\filter_unit/cnt [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\filter_unit/n0 [1],open_n90295}),
.fco(\filter_unit/add0/c3 ),
.fx({\filter_unit/n0 [2],\filter_unit/n0 [0]}));
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b0|filter_unit/reg0_b2 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({\filter_unit/key_reg0 [0],\filter_unit/key_reg0 [2]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg1 [0],\filter_unit/key_reg1 [2]})); // ../rtl/peripherals/KeyScan.v(50)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b1 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({open_n90343,\filter_unit/key_reg0 [1]}),
.sr(RSTn_pad),
.q({open_n90349,\filter_unit/key_reg1 [1]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b10|filter_unit/reg0_b5 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({\filter_unit/key_reg0 [10],\filter_unit/key_reg0 [5]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg1 [10],\filter_unit/key_reg1 [5]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b11|filter_unit/reg6_b0 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({\filter_unit/key_reg0 [11],key_in[0]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg1 [11],\filter_unit/key_reg0 [0]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b12|filter_unit/reg0_b4 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({\filter_unit/key_reg0 [12],\filter_unit/key_reg0 [4]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg1 [12],\filter_unit/key_reg1 [4]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b13|filter_unit/reg0_b14 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({\filter_unit/key_reg0 [13],\filter_unit/key_reg0 [14]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg1 [13],\filter_unit/key_reg1 [14]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b15|filter_unit/reg0_b3 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({\filter_unit/key_reg0 [15],\filter_unit/key_reg0 [3]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg1 [15],\filter_unit/key_reg1 [3]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b7|filter_unit/reg0_b6 (
.c({HWDATA[3],HWDATA[0]}),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.mi(\filter_unit/key_reg0 [7:6]),
.sr(RSTn_pad),
.f({SPI_TX_Data[3],SPI_TX_Data[0]}),
.q(\filter_unit/key_reg1 [7:6])); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b8|filter_unit/reg0_b9 (
.c({HWDATA[1],HWDATA[2]}),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.mi({\filter_unit/key_reg0 [8],\filter_unit/key_reg0 [9]}),
.sr(RSTn_pad),
.f({SPI_TX_Data[1],SPI_TX_Data[2]}),
.q({\filter_unit/key_reg1 [8],\filter_unit/key_reg1 [9]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b10|filter_unit/reg5_b7 (
.c({\filter_unit/n0 [10],\filter_unit/n0 [7]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [10],\filter_unit/cnt [7]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b11|filter_unit/reg5_b12 (
.c({\filter_unit/n0 [11],\filter_unit/n0 [12]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [11],\filter_unit/cnt [12]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b13|filter_unit/reg5_b14 (
.c({\filter_unit/n0 [13],\filter_unit/n0 [14]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [13],\filter_unit/cnt [14]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b16|filter_unit/reg5_b17 (
.c({\filter_unit/n0 [16],\filter_unit/n0 [17]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [16],\filter_unit/cnt [17]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b18|filter_unit/reg5_b19 (
.c({\filter_unit/n0 [18],\filter_unit/n0 [19]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [18],\filter_unit/cnt [19]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b1|filter_unit/reg5_b2 (
.c({\filter_unit/n0 [1],\filter_unit/n0 [2]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [1],\filter_unit/cnt [2]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b3|filter_unit/reg5_b4 (
.c({\filter_unit/n0 [3],\filter_unit/n0 [4]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [3],\filter_unit/cnt [4]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b5|filter_unit/reg5_b6 (
.c({\filter_unit/n0 [5],\filter_unit/n0 [6]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [5],\filter_unit/cnt [6]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b8|filter_unit/reg5_b9 (
.c({\filter_unit/n0 [8],\filter_unit/n0 [9]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [8],\filter_unit/cnt [9]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b10|filter_unit/reg6_b14 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({key_in[10],key_in[14]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg0 [10],\filter_unit/key_reg0 [14]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b12|filter_unit/reg6_b4 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({key_in[12],key_in[4]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg0 [12],\filter_unit/key_reg0 [4]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b15|filter_unit/reg6_b7 (
.c({HWDATA[8],HWDATA[9]}),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.mi({key_in[15],key_in[7]}),
.sr(RSTn_pad),
.f({SPI_TX_Data[8],SPI_TX_Data[9]}),
.q({\filter_unit/key_reg0 [15],\filter_unit/key_reg0 [7]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b1|filter_unit/reg6_b13 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({key_in[1],key_in[13]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg0 [1],\filter_unit/key_reg0 [13]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b2|filter_unit/reg6_b6 (
.c(HWDATA[19:18]),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.mi({key_in[2],key_in[6]}),
.sr(RSTn_pad),
.f(SPI_TX_Data[19:18]),
.q({\filter_unit/key_reg0 [2],\filter_unit/key_reg0 [6]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b3|filter_unit/reg6_b5 (
.c({HWDATA[16],HWDATA[17]}),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.mi({key_in[3],key_in[5]}),
.sr(RSTn_pad),
.f({SPI_TX_Data[16],SPI_TX_Data[17]}),
.q({\filter_unit/key_reg0 [3],\filter_unit/key_reg0 [5]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b8|filter_unit/reg6_b9 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({key_in[8],key_in[9]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg0 [8],\filter_unit/key_reg0 [9]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg0_b10|pulse_gen_unit/reg0_b2 (
.c(\pulse_gen_unit/key_reg_2 [6:5]),
.clk(clk_pad),
.d(\filter_unit/n9 [6:5]),
.mi({\pulse_gen_unit/key_reg_1 [10],\pulse_gen_unit/key_reg_1 [2]}),
.sr(RSTn_pad),
.f(key_interrupt[6:5]),
.q({\pulse_gen_unit/key_reg_2 [10],\pulse_gen_unit/key_reg_2 [2]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg0_b12|pulse_gen_unit/reg0_b9 (
.c({\pulse_gen_unit/key_reg_2 [9],\pulse_gen_unit/key_reg_2 [12]}),
.clk(clk_pad),
.d({\filter_unit/n9 [9],\filter_unit/n9 [12]}),
.mi({\pulse_gen_unit/key_reg_1 [12],\pulse_gen_unit/key_reg_1 [9]}),
.sr(RSTn_pad),
.f({key_interrupt[9],key_interrupt[12]}),
.q({\pulse_gen_unit/key_reg_2 [12],\pulse_gen_unit/key_reg_2 [9]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg0_b13|pulse_gen_unit/reg0_b11 (
.c({\pulse_gen_unit/key_reg_2 [13],\pulse_gen_unit/key_reg_2 [7]}),
.clk(clk_pad),
.d({\filter_unit/n9 [13],\filter_unit/n9 [7]}),
.mi({\pulse_gen_unit/key_reg_1 [13],\pulse_gen_unit/key_reg_1 [11]}),
.sr(RSTn_pad),
.f({key_interrupt[13],key_interrupt[7]}),
.q({\pulse_gen_unit/key_reg_2 [13],\pulse_gen_unit/key_reg_2 [11]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg0_b15|pulse_gen_unit/reg0_b6 (
.c({\pulse_gen_unit/key_reg_2 [15],\pulse_gen_unit/key_reg_2 [3]}),
.clk(clk_pad),
.d({\filter_unit/n9 [15],\filter_unit/n9 [3]}),
.mi({\pulse_gen_unit/key_reg_1 [15],\pulse_gen_unit/key_reg_1 [6]}),
.sr(RSTn_pad),
.f({key_interrupt[15],key_interrupt[3]}),
.q({\pulse_gen_unit/key_reg_2 [15],\pulse_gen_unit/key_reg_2 [6]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg0_b1|pulse_gen_unit/reg0_b7 (
.c({\pulse_gen_unit/key_reg_2 [1],\pulse_gen_unit/key_reg_2 [8]}),
.clk(clk_pad),
.d({\filter_unit/n9 [1],\filter_unit/n9 [8]}),
.mi({\pulse_gen_unit/key_reg_1 [1],\pulse_gen_unit/key_reg_1 [7]}),
.sr(RSTn_pad),
.f({key_interrupt[1],key_interrupt[8]}),
.q({\pulse_gen_unit/key_reg_2 [1],\pulse_gen_unit/key_reg_2 [7]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~B*~A*~(~D*C))"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000100000001),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg0_b4|pulse_gen_unit/reg0_b0 (
.a({open_n90982,\u_logic/_al_u1900_o }),
.b({open_n90983,\u_logic/_al_u1901_o }),
.c({\pulse_gen_unit/key_reg_2 [0],RSSI_interrupt}),
.clk(clk_pad),
.d({\filter_unit/n9 [0],\u_logic/Kshbx6 }),
.mi({\pulse_gen_unit/key_reg_1 [4],\pulse_gen_unit/key_reg_1 [0]}),
.sr(RSTn_pad),
.f({key_interrupt[0],\u_logic/_al_u1902_o }),
.q({\pulse_gen_unit/key_reg_2 [4],\pulse_gen_unit/key_reg_2 [0]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg0_b8|pulse_gen_unit/reg0_b14 (
.c({\pulse_gen_unit/key_reg_2 [14],\u_logic/Kwfiu6 }),
.clk(clk_pad),
.d({\filter_unit/n9 [14],HWDATA[3]}),
.mi({\pulse_gen_unit/key_reg_1 [8],\pulse_gen_unit/key_reg_1 [14]}),
.sr(RSTn_pad),
.f({key_interrupt[14],\u_logic/_al_u1901_o }),
.q({\pulse_gen_unit/key_reg_2 [8],\pulse_gen_unit/key_reg_2 [14]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b0|pulse_gen_unit/reg1_b2 (
.c({\filter_unit/key_reg0 [0],\filter_unit/key_reg0 [2]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [0],\filter_unit/key_reg1 [2]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [0],\filter_unit/n9 [2]}),
.q({\pulse_gen_unit/key_reg_1 [0],\pulse_gen_unit/key_reg_1 [2]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b10|pulse_gen_unit/reg1_b3 (
.c({\filter_unit/key_reg0 [10],\filter_unit/key_reg0 [3]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [10],\filter_unit/key_reg1 [3]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [10],\filter_unit/n9 [3]}),
.q({\pulse_gen_unit/key_reg_1 [10],\pulse_gen_unit/key_reg_1 [3]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b11|pulse_gen_unit/reg1_b14 (
.c({\filter_unit/key_reg0 [11],\filter_unit/key_reg0 [14]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [11],\filter_unit/key_reg1 [14]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [11],\filter_unit/n9 [14]}),
.q({\pulse_gen_unit/key_reg_1 [11],\pulse_gen_unit/key_reg_1 [14]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b12|pulse_gen_unit/reg1_b7 (
.c({\filter_unit/key_reg0 [12],\filter_unit/key_reg0 [7]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [12],\filter_unit/key_reg1 [7]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [12],\filter_unit/n9 [7]}),
.q({\pulse_gen_unit/key_reg_1 [12],\pulse_gen_unit/key_reg_1 [7]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b13|pulse_gen_unit/reg1_b9 (
.c({\filter_unit/key_reg0 [13],\filter_unit/key_reg0 [9]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [13],\filter_unit/key_reg1 [9]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [13],\filter_unit/n9 [9]}),
.q({\pulse_gen_unit/key_reg_1 [13],\pulse_gen_unit/key_reg_1 [9]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b15|pulse_gen_unit/reg1_b5 (
.c({\filter_unit/key_reg0 [15],\filter_unit/key_reg0 [5]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [15],\filter_unit/key_reg1 [5]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [15],\filter_unit/n9 [5]}),
.q({\pulse_gen_unit/key_reg_1 [15],\pulse_gen_unit/key_reg_1 [5]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b1|pulse_gen_unit/reg1_b8 (
.c({\filter_unit/key_reg0 [1],\filter_unit/key_reg0 [8]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [1],\filter_unit/key_reg1 [8]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [1],\filter_unit/n9 [8]}),
.q({\pulse_gen_unit/key_reg_1 [1],\pulse_gen_unit/key_reg_1 [8]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b4|pulse_gen_unit/reg1_b6 (
.c({\filter_unit/key_reg0 [4],\filter_unit/key_reg0 [6]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [4],\filter_unit/key_reg1 [6]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [4],\filter_unit/n9 [6]}),
.q({\pulse_gen_unit/key_reg_1 [4],\pulse_gen_unit/key_reg_1 [6]})); // ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u748"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u11_al_u751 (
.a({\scan_unit/cnt [13],\scan_unit/cnt [11]}),
.b({\scan_unit/cnt [14],\scan_unit/cnt [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c11 ),
.f({\scan_unit/n2 [13],\scan_unit/n2 [11]}),
.fco(\scan_unit/add0/c15 ),
.fx({\scan_unit/n2 [14],\scan_unit/n2 [12]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u748"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u15_al_u752 (
.a({\scan_unit/cnt [17],\scan_unit/cnt [15]}),
.b({\scan_unit/cnt [18],\scan_unit/cnt [16]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c15 ),
.f({\scan_unit/n2 [17],\scan_unit/n2 [15]}),
.fco(\scan_unit/add0/c19 ),
.fx({\scan_unit/n2 [18],\scan_unit/n2 [16]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u748"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u19_al_u753 (
.a({\scan_unit/cnt [21],\scan_unit/cnt [19]}),
.b({\scan_unit/cnt [22],\scan_unit/cnt [20]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c19 ),
.f({\scan_unit/n2 [21],\scan_unit/n2 [19]}),
.fco(\scan_unit/add0/c23 ),
.fx({\scan_unit/n2 [22],\scan_unit/n2 [20]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u748"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u23_al_u754 (
.a({\scan_unit/cnt [25],\scan_unit/cnt [23]}),
.b({\scan_unit/cnt [26],\scan_unit/cnt [24]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c23 ),
.f({\scan_unit/n2 [25],\scan_unit/n2 [23]}),
.fco(\scan_unit/add0/c27 ),
.fx({\scan_unit/n2 [26],\scan_unit/n2 [24]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u748"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u27_al_u755 (
.a({\scan_unit/cnt [29],\scan_unit/cnt [27]}),
.b({\scan_unit/cnt [30],\scan_unit/cnt [28]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c27 ),
.f({\scan_unit/n2 [29],\scan_unit/n2 [27]}),
.fco(\scan_unit/add0/c31 ),
.fx({\scan_unit/n2 [30],\scan_unit/n2 [28]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u748"),
//.R_POSITION("X0Y4Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u31_al_u756 (
.a({open_n91286,\scan_unit/cnt [31]}),
.c(2'b00),
.d({open_n91291,1'b0}),
.fci(\scan_unit/add0/c31 ),
.f({open_n91308,\scan_unit/n2 [31]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u748"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u3_al_u749 (
.a({\scan_unit/cnt [5],\scan_unit/cnt [3]}),
.b({\scan_unit/cnt [6],\scan_unit/cnt [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c3 ),
.f({\scan_unit/n2 [5],\scan_unit/n2 [3]}),
.fco(\scan_unit/add0/c7 ),
.fx({\scan_unit/n2 [6],\scan_unit/n2 [4]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u748"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u7_al_u750 (
.a({\scan_unit/cnt [9],\scan_unit/cnt [7]}),
.b({\scan_unit/cnt [10],\scan_unit/cnt [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c7 ),
.f({\scan_unit/n2 [9],\scan_unit/n2 [7]}),
.fco(\scan_unit/add0/c11 ),
.fx({\scan_unit/n2 [10],\scan_unit/n2 [8]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u748"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/add0/ucin_al_u748 (
.a({\scan_unit/cnt [1],1'b0}),
.b({\scan_unit/cnt [2],\scan_unit/cnt [0]}),
.c(2'b00),
.clk(clk_pad),
.d(2'b01),
.e(2'b01),
.mi(\scan_unit/n2 [5:4]),
.sr(\scan_unit/n0 ),
.f({\scan_unit/n2 [1],open_n91363}),
.fco(\scan_unit/add0/c3 ),
.fx({\scan_unit/n2 [2],\scan_unit/n2 [0]}),
.q(\scan_unit/cnt [5:4]));
// ../rtl/peripherals/KeyScan.v(16)
// ../rtl/peripherals/KeyScan.v(16)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg0_b1|scan_unit/reg0_b0 (
.clk(\scan_unit/scan_clk_gclk_net ),
.mi({row_pad[0],row_pad[3]}),
.q(row_pad[1:0])); // ../rtl/peripherals/KeyScan.v(16)
// ../rtl/peripherals/KeyScan.v(16)
// ../rtl/peripherals/KeyScan.v(16)
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg0_b2|scan_unit/reg0_b3 (
.b({\FM_HW/FM_Demodulation/IdataN [0],\FM_HW/FM_Demodulation/IdataN_1 [0]}),
.c({\FM_HW/FM_Demodulation/IdataN [7],\FM_HW/FM_Demodulation/IdataN_1 [7]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({\FM_HW/FM_Demodulation/multlIN/n1 [0],\FM_HW/FM_Demodulation/multlQN/n4 [0]}),
.mi({row_pad[1],row_pad[2]}),
.f({\FM_HW/FM_Demodulation/multlIN/n2 [0],\FM_HW/FM_Demodulation/multlQN/n5 [0]}),
.q({row_pad[2],row_pad[3]})); // ../rtl/peripherals/KeyScan.v(16)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_LSLICE #(
//.LUTF0("~(~(C*B)*~(D*~A))"),
//.LUTF1("~(~(C*B)*~(D*~A))"),
//.LUTG0("~(~(C*B)*~(D*~A))"),
//.LUTG1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101010111000000),
.INIT_LUTF1(16'b1101010111000000),
.INIT_LUTG0(16'b1101010111000000),
.INIT_LUTG1(16'b1101010111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b0|scan_unit/reg1_b13 (
.a({_al_u269_o,_al_u276_o}),
.b({_al_u270_o,_al_u267_o}),
.c({col_pad[0],col_pad[1]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[0],key_in[13]}),
.q({key_in[0],key_in[13]})); // ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_MSLICE #(
//.LUT0("~(~(C*B)*~(D*~A))"),
//.LUT1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101010111000000),
.INIT_LUT1(16'b1101010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b10|scan_unit/reg1_b12 (
.a({_al_u273_o,_al_u276_o}),
.b({_al_u268_o,_al_u267_o}),
.c({col_pad[2],col_pad[0]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[10],key_in[12]}),
.q({key_in[10],key_in[12]})); // ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_LSLICE #(
//.LUTF0("~(~(C*B)*~(D*~A))"),
//.LUTF1("~(~(C*B)*~(D*~A))"),
//.LUTG0("~(~(C*B)*~(D*~A))"),
//.LUTG1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101010111000000),
.INIT_LUTF1(16'b1101010111000000),
.INIT_LUTG0(16'b1101010111000000),
.INIT_LUTG1(16'b1101010111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b14|scan_unit/reg1_b2 (
.a({_al_u276_o,_al_u269_o}),
.b({_al_u267_o,_al_u270_o}),
.c({col_pad[2],col_pad[2]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[14],key_in[2]}),
.q({key_in[14],key_in[2]})); // ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_LSLICE #(
//.LUTF0("~(~(C*B)*~(D*~A))"),
//.LUTF1("~(~(C*B)*~(D*~A))"),
//.LUTG0("~(~(C*B)*~(D*~A))"),
//.LUTG1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101010111000000),
.INIT_LUTF1(16'b1101010111000000),
.INIT_LUTG0(16'b1101010111000000),
.INIT_LUTG1(16'b1101010111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b15|scan_unit/reg1_b9 (
.a({_al_u276_o,_al_u273_o}),
.b({_al_u267_o,_al_u268_o}),
.c({col_pad[3],col_pad[1]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[15],key_in[9]}),
.q({key_in[15],key_in[9]})); // ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_MSLICE #(
//.LUT0("~(~(C*B)*~(D*~A))"),
//.LUT1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101010111000000),
.INIT_LUT1(16'b1101010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b1|scan_unit/reg1_b3 (
.a({_al_u269_o,_al_u269_o}),
.b({_al_u270_o,_al_u270_o}),
.c({col_pad[1],col_pad[3]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[1],key_in[3]}),
.q({key_in[1],key_in[3]})); // ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_LSLICE #(
//.LUTF0("~(~(C*B)*~(D*~A))"),
//.LUTF1("~(~B*~(C*~D))"),
//.LUTG0("~(~(C*B)*~(D*~A))"),
//.LUTG1("~(~B*~(C*~D))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101010111000000),
.INIT_LUTF1(16'b1100110011111100),
.INIT_LUTG0(16'b1101010111000000),
.INIT_LUTG1(16'b1100110011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b4|scan_unit/reg1_b6 (
.a({open_n91513,_al_u283_o}),
.b({_al_u284_o,_al_u266_o}),
.c({key_in[4],col_pad[2]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({_al_u283_o,key_in[6]}),
.q({key_in[4],key_in[6]})); // ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_MSLICE #(
//.LUT0("~(~(C*B)*~(D*~A))"),
//.LUT1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101010111000000),
.INIT_LUT1(16'b1101010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b5|scan_unit/reg1_b8 (
.a({_al_u283_o,_al_u273_o}),
.b({_al_u266_o,_al_u268_o}),
.c(col_pad[1:0]),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[5],key_in[8]}),
.q({key_in[5],key_in[8]})); // ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_MSLICE #(
//.LUT0("~(~(C*B)*~(D*~A))"),
//.LUT1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101010111000000),
.INIT_LUT1(16'b1101010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b7|scan_unit/reg1_b11 (
.a({_al_u283_o,_al_u273_o}),
.b({_al_u266_o,_al_u268_o}),
.c({col_pad[3],col_pad[3]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[7],key_in[11]}),
.q({key_in[7],key_in[11]})); // ../rtl/peripherals/KeyScan.v(18)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b0 (
.a({open_n91575,\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [0]}),
.b({open_n91576,\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [1]}),
.c({open_n91577,\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [10]}),
.clk(clk_pad),
.d({open_n91579,\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [11]}),
.mi({open_n91590,\scan_unit/n2 [0]}),
.sr(\scan_unit/n0 ),
.f({open_n91591,\ethernet_i0/_al_u114_o }),
.q({open_n91595,\scan_unit/cnt [0]})); // ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b11 (
.clk(clk_pad),
.mi({open_n91608,\scan_unit/n2 [11]}),
.sr(\scan_unit/n0 ),
.q({open_n91625,\scan_unit/cnt [11]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b12|scan_unit/reg2_b13 (
.clk(clk_pad),
.mi({\scan_unit/n2 [12],\scan_unit/n2 [13]}),
.sr(\scan_unit/n0 ),
.q({\scan_unit/cnt [12],\scan_unit/cnt [13]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*~C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(1*~D*~C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b14|scan_unit/reg2_b2 (
.a({\ethernet_i0/_al_u109_o ,\ethernet_i0/_al_u114_o }),
.b({\ethernet_i0/_al_u110_o ,\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [12]}),
.c({\ethernet_i0/_al_u111_o ,\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [13]}),
.clk(clk_pad),
.d({\ethernet_i0/_al_u112_o ,\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [14]}),
.e({open_n91655,\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [15]}),
.mi({\scan_unit/n2 [14],\scan_unit/n2 [2]}),
.sr(\scan_unit/n0 ),
.f({\ethernet_i0/_al_u113_o ,\ethernet_i0/_al_u115_o }),
.q({\scan_unit/cnt [14],\scan_unit/cnt [2]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b15|scan_unit/reg2_b17 (
.clk(clk_pad),
.mi({\scan_unit/n2 [15],\scan_unit/n2 [17]}),
.sr(\scan_unit/n0 ),
.q({\scan_unit/cnt [15],\scan_unit/cnt [17]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_MSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b16|scan_unit/reg2_b18 (
.clk(clk_pad),
.mi({\scan_unit/n2 [16],\scan_unit/n2 [18]}),
.sr(\scan_unit/n0 ),
.q({\scan_unit/cnt [16],\scan_unit/cnt [18]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b19|scan_unit/reg2_b21 (
.clk(clk_pad),
.mi({\scan_unit/n2 [19],\scan_unit/n2 [21]}),
.sr(\scan_unit/n0 ),
.q({\scan_unit/cnt [19],\scan_unit/cnt [21]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*A)"),
//.LUTF1("(D*~C*B*~A)"),
//.LUTG0("(~D*~C*~B*A)"),
//.LUTG1("(D*~C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b0000010000000000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b0000010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b1|scan_unit/reg2_b10 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [6],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [23]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [7],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [3]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [8],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [4]}),
.clk(clk_pad),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [9],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [5]}),
.mi({\scan_unit/n2 [1],\scan_unit/n2 [10]}),
.sr(\scan_unit/n0 ),
.f({\ethernet_i0/_al_u111_o ,\ethernet_i0/_al_u112_o }),
.q({\scan_unit/cnt [1],\scan_unit/cnt [10]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b20|scan_unit/reg2_b22 (
.clk(clk_pad),
.mi({\scan_unit/n2 [20],\scan_unit/n2 [22]}),
.sr(\scan_unit/n0 ),
.q({\scan_unit/cnt [20],\scan_unit/cnt [22]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~B*~A)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000100000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b25|scan_unit/reg2_b7 (
.a({\scan_unit/cnt [2],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [16]}),
.b({\scan_unit/cnt [20],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [17]}),
.c({\scan_unit/cnt [21],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [18]}),
.clk(clk_pad),
.d({\scan_unit/cnt [22],\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [19]}),
.mi({\scan_unit/n2 [25],\scan_unit/n2 [7]}),
.sr(\scan_unit/n0 ),
.f({_al_u438_o,\ethernet_i0/_al_u110_o }),
.q({\scan_unit/cnt [25],\scan_unit/cnt [7]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b26|scan_unit/reg2_b27 (
.a({_al_u436_o,\scan_unit/cnt [30]}),
.b({_al_u437_o,\scan_unit/cnt [31]}),
.c({_al_u438_o,\scan_unit/cnt [4]}),
.clk(clk_pad),
.d({_al_u439_o,\scan_unit/cnt [5]}),
.mi({\scan_unit/n2 [26],\scan_unit/n2 [27]}),
.sr(\scan_unit/n0 ),
.f({_al_u440_o,_al_u441_o}),
.q({\scan_unit/cnt [26],\scan_unit/cnt [27]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b29|scan_unit/reg2_b28 (
.a({\scan_unit/cnt [16],_al_u440_o}),
.b({\scan_unit/cnt [17],_al_u442_o}),
.c({\scan_unit/cnt [18],_al_u443_o}),
.clk(clk_pad),
.d({\scan_unit/cnt [19],_al_u444_o}),
.mi(\scan_unit/n2 [29:28]),
.sr(\scan_unit/n0 ),
.f({_al_u439_o,\scan_unit/n0 }),
.q(\scan_unit/cnt [29:28])); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b30|scan_unit/reg2_b31 (
.a({\scan_unit/cnt [27],\scan_unit/cnt [23]}),
.b({\scan_unit/cnt [28],\scan_unit/cnt [24]}),
.c({\scan_unit/cnt [29],\scan_unit/cnt [25]}),
.clk(clk_pad),
.d({\scan_unit/cnt [3],\scan_unit/cnt [26]}),
.mi({\scan_unit/n2 [30],\scan_unit/n2 [31]}),
.sr(\scan_unit/n0 ),
.f({_al_u443_o,_al_u444_o}),
.q({\scan_unit/cnt [30],\scan_unit/cnt [31]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*C*B*A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~1*D*C*B*A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b3|scan_unit/reg2_b8 (
.a({\scan_unit/cnt [12],_al_u441_o}),
.b({\scan_unit/cnt [13],\scan_unit/cnt [6]}),
.c({\scan_unit/cnt [14],\scan_unit/cnt [7]}),
.clk(clk_pad),
.d({\scan_unit/cnt [15],\scan_unit/cnt [8]}),
.e({open_n91854,\scan_unit/cnt [9]}),
.mi({\scan_unit/n2 [3],\scan_unit/n2 [8]}),
.sr(\scan_unit/n0 ),
.f({_al_u436_o,_al_u442_o}),
.q({\scan_unit/cnt [3],\scan_unit/cnt [8]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(~D*~C*B*~A)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(~D*~C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000000000000100),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b6|scan_unit/reg2_b9 (
.a({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [2],\scan_unit/cnt [0]}),
.b({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [20],\scan_unit/cnt [1]}),
.c({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [21],\scan_unit/cnt [10]}),
.clk(clk_pad),
.d({\ethernet_i0/miim_top_m0/miim_control_inst/poll_wait_counter [22],\scan_unit/cnt [11]}),
.mi({\scan_unit/n2 [6],\scan_unit/n2 [9]}),
.sr(\scan_unit/n0 ),
.f({\ethernet_i0/_al_u109_o ,_al_u437_o}),
.q({\scan_unit/cnt [6],\scan_unit/cnt [9]})); // ../rtl/peripherals/KeyScan.v(8)
EG_PHY_GCLK \scan_unit/scan_clk_gclk_inst (
.clki(\scan_unit/scan_clk ),
.clko(\scan_unit/scan_clk_gclk_net ));
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/A1qax6_reg (
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.mi({open_n91906,\u_logic/K39iu6 }),
.q({open_n91913,\u_logic/vis_r2_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(18823)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(0*~D)*~(C*~A))"),
//.LUT1("~(B*~(1*~D)*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101110011),
.INIT_LUT1(16'b0111001111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/A32qw6_reg (
.a({\u_logic/_al_u4711_o ,\u_logic/_al_u4711_o }),
.b({\u_logic/_al_u4756_o ,\u_logic/_al_u4756_o }),
.c({\u_logic/Zgziu6_lutinv ,\u_logic/Zgziu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/_al_u2647_o ,\u_logic/_al_u2647_o }),
.mi({open_n91925,\u_logic/vis_pc_o[29] }),
.sr(cpuresetn),
.q({open_n91931,\u_logic/vis_pc_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(17958)
// ../rtl/topmodule/cortexm0ds_logic.v(18010)
// ../rtl/topmodule/cortexm0ds_logic.v(17182)
EG_PHY_LSLICE #(
//.LUTF0("~(~(C*B)*~(0*~(D*A)))"),
//.LUTF1("~(~(D*~B)*~(C*~(0*A)))"),
//.LUTG0("~(~(C*B)*~(1*~(D*A)))"),
//.LUTG1("~(~(D*~B)*~(C*~(1*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011000000),
.INIT_LUTF1(16'b1111001111110000),
.INIT_LUTG0(16'b1101010111111111),
.INIT_LUTG1(16'b0111001101010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/A5ipw6_reg|u_logic/Ry2qw6_reg (
.a({\u_logic/Di1iu6 ,\u_logic/Di1iu6 }),
.b({\u_logic/_al_u1214_o ,\u_logic/Fj1iu6 }),
.c({\u_logic/A5ipw6 ,\u_logic/Yi1iu6_lutinv }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Kwlpw6 ,\u_logic/Bx2qw6 }),
.e({\u_logic/Z73qw6 ,\u_logic/Ry2qw6 }),
.sr(\u_logic/Kxhpw6 ),
.q({\u_logic/A5ipw6 ,\u_logic/Ry2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18010)
// ../rtl/topmodule/cortexm0ds_logic.v(19917)
// ../rtl/topmodule/cortexm0ds_logic.v(19398)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(~A*~(D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b0001010101010101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Aa2bx6_reg|u_logic/N5bbx6_reg (
.a({\u_logic/_al_u1925_o ,\u_logic/_al_u1897_o }),
.b({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.c({\u_logic/_al_u1890_o ,\u_logic/_al_u1890_o }),
.clk(clk_pad),
.d({\u_logic/_al_u1914_o ,\u_logic/A9row6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/C5phu6 ,\u_logic/V4phu6 }),
.q({\u_logic/Aa2bx6 ,\u_logic/N5bbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19917)
// ../rtl/topmodule/cortexm0ds_logic.v(19987)
// ../rtl/topmodule/cortexm0ds_logic.v(18163)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ab9ax6_reg|u_logic/M4ebx6_reg (
.a({\u_logic/Xs1iu6 ,\u_logic/_al_u3040_o }),
.b({\u_logic/Ar1iu6 ,\u_logic/Dw1iu6 }),
.c({\u_logic/Fjdbx6 ,\u_logic/Zt1iu6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Tsdbx6 ,\u_logic/Fvcbx6 }),
.e({open_n91968,\u_logic/Zycbx6 }),
.mi({\u_logic/U64iu6 ,\u_logic/I74iu6 }),
.f({\u_logic/_al_u3121_o ,\u_logic/_al_u3041_o }),
.q({\u_logic/Ab9ax6 ,\u_logic/M4ebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19987)
// ../rtl/topmodule/cortexm0ds_logic.v(18303)
// ../rtl/topmodule/cortexm0ds_logic.v(19991)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Acebx6_reg|u_logic/F7eax6_reg (
.a({open_n91985,\u_logic/Kw1iu6_lutinv }),
.b({open_n91986,\u_logic/Dw1iu6 }),
.c({\u_logic/Dg2qw6 ,\u_logic/Bq9ax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Jf7iu6 ,\u_logic/Gzeax6 }),
.mi({\u_logic/I74iu6 ,\u_logic/H34iu6 }),
.f({\u_logic/n529 ,\u_logic/Tsriu6 }),
.q({\u_logic/Acebx6 ,\u_logic/F7eax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18303)
// ../rtl/topmodule/cortexm0ds_logic.v(19932)
// ../rtl/topmodule/cortexm0ds_logic.v(19975)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ahdbx6_reg|u_logic/Lhbbx6_reg (
.c({\u_logic/Cpwiu6 ,\u_logic/Nkwiu6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vowiu6 ,\u_logic/Vowiu6 }),
.mi({\u_logic/Jfdbx6 ,\u_logic/Ufbbx6 }),
.f({\u_logic/Ve7iu6 ,\u_logic/Ws4iu6_lutinv }),
.q({\u_logic/Ahdbx6 ,\u_logic/Lhbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19932)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B*~(0*C*A)))"),
//.LUTF1("~(~(D*~B)*~(C*~A))"),
//.LUTG0("(D*~(B*~(1*C*A)))"),
//.LUTG1("~(~(D*~B)*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100000000),
.INIT_LUTF1(16'b0111001101010000),
.INIT_LUTG0(16'b1011001100000000),
.INIT_LUTG1(16'b0111001101010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Ahlpw6_reg|u_logic/_al_u1217 (
.a({\u_logic/_al_u1711_o ,\u_logic/Mmyhu6 }),
.b({\u_logic/_al_u1712_o ,\u_logic/_al_u1216_o }),
.c({\u_logic/U5yhu6 ,\u_logic/Hmzhu6_lutinv }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Ahlpw6 ,\u_logic/U5yhu6 }),
.e({open_n92024,\u_logic/_al_u632_o }),
.sr(\u_logic/Kxhpw6 ),
.f({open_n92039,\u_logic/_al_u1217_o }),
.q({\u_logic/Ahlpw6 ,open_n92043})); // ../rtl/topmodule/cortexm0ds_logic.v(17359)
// ../rtl/topmodule/cortexm0ds_logic.v(17424)
// ../rtl/topmodule/cortexm0ds_logic.v(18610)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(D*~(C*~A)))"),
//.LUT1("~(B*~(C*~(D*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111100110011),
.INIT_LUT1(16'b1011001111110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Aniax6_reg|u_logic/Qhmpw6_reg (
.a({\u_logic/_al_u4629_o ,\u_logic/_al_u4629_o }),
.b({\u_logic/_al_u4726_o ,\u_logic/_al_u4728_o }),
.c({\u_logic/_al_u4724_o ,\u_logic/_al_u4635_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4631_o ,\u_logic/_al_u4724_o }),
.sr(cpuresetn),
.q({\u_logic/vis_ipsr_o[2] ,\u_logic/vis_ipsr_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(17424)
// ../rtl/topmodule/cortexm0ds_logic.v(19284)
// ../rtl/topmodule/cortexm0ds_logic.v(19344)
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(D*B))"),
//.LUTF1("(C*~B*~D)"),
//.LUTG0("(C*~A*~(D*B))"),
//.LUTG1("(C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b0000000000110000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0000000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Ar1bx6_reg|u_logic/W51bx6_reg (
.a({open_n92062,\u_logic/_al_u2351_o }),
.b({\u_logic/_al_u2041_o ,HWDATA[12]}),
.c({\u_logic/_al_u2424_o ,\u_logic/_al_u2404_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2351_o ,\u_logic/Kwfiu6 }),
.sr(cpuresetn),
.q({\u_logic/Ar1bx6 ,\u_logic/W51bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19284)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Asupw6_reg (
.a({\u_logic/_al_u2533_o ,\u_logic/_al_u2533_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Krkiu6 ,\u_logic/Krkiu6 }),
.mi({open_n92096,\u_logic/vis_pc_o[6] }),
.q({open_n92103,\u_logic/Asupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17718)
// ../rtl/topmodule/cortexm0ds_logic.v(19674)
// ../rtl/topmodule/cortexm0ds_logic.v(19668)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Aw4bx6_reg|u_logic/Cy4bx6_reg (
.a({\u_logic/_al_u4290_o ,\u_logic/S1fiu6 }),
.b({\u_logic/_al_u4291_o ,\u_logic/_al_u139_o }),
.c({\u_logic/Xrgiu6 ,\u_logic/Pczax6 }),
.ce(\u_logic/n1110 ),
.clk(clk_pad),
.d({\u_logic/F17ax6 ,\u_logic/Tl4bx6 }),
.mi({HWDATA[30],HWDATA[31]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4292_o ,\u_logic/_al_u3013_o }),
.q({\u_logic/Aw4bx6 ,\u_logic/Cy4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19674)
// ../rtl/topmodule/cortexm0ds_logic.v(18917)
// ../rtl/topmodule/cortexm0ds_logic.v(18897)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/B4uax6_reg|u_logic/V7vax6_reg (
.a({\u_logic/_al_u827_o ,\u_logic/_al_u876_o }),
.b({\u_logic/_al_u828_o ,\u_logic/_al_u877_o }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/Nq4ju6_lutinv }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[24] ,\u_logic/vis_r11_o[6] }),
.mi({\u_logic/Vx9iu6 ,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u829_o ,\u_logic/_al_u878_o }),
.q({\u_logic/vis_r4_o[23] ,\u_logic/vis_r4_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18917)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/B6uax6_reg (
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.mi({open_n92153,\u_logic/K39iu6 }),
.q({open_n92160,\u_logic/vis_r4_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(18898)
// ../rtl/topmodule/cortexm0ds_logic.v(18124)
// ../rtl/topmodule/cortexm0ds_logic.v(19810)
EG_PHY_MSLICE #(
//.LUT0("(D*(C@B))"),
//.LUT1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011110000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/B79bx6_reg|u_logic/Kl8ax6_reg (
.b({open_n92163,\u_logic/_al_u2618_o }),
.c({\u_logic/Bngax6 ,\u_logic/Tfcax6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/_al_u2589_o ,\u_logic/_al_u3536_o }),
.mi({\u_logic/Q44iu6 ,\u_logic/E54iu6 }),
.f({\u_logic/_al_u3589_o ,\u_logic/_al_u3537_o }),
.q({\u_logic/B79bx6 ,\u_logic/Kl8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18124)
// ../rtl/topmodule/cortexm0ds_logic.v(19950)
// ../rtl/topmodule/cortexm0ds_logic.v(20186)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(~(D*B)*~(~C*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0011001011111010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/B9jbx6_reg|u_logic/Wfcbx6_reg (
.a({\u_logic/_al_u2509_o ,\u_logic/_al_u4443_o }),
.b({\u_logic/_al_u2613_o ,\u_logic/_al_u4445_o }),
.c({\u_logic/Q1hbx6 ,\u_logic/St1iu6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/U4fax6 ,HRDATA[23]}),
.mi({\u_logic/Ym4iu6 ,\u_logic/R84iu6 }),
.f({\u_logic/_al_u3574_o ,\u_logic/_al_u4446_o }),
.q({\u_logic/B9jbx6 ,\u_logic/Wfcbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19950)
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Bbjpw6_reg (
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.mi({open_n92203,\u_logic/Bomiu6 }),
.q({open_n92221,\u_logic/vis_r11_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(17232)
// ../rtl/topmodule/cortexm0ds_logic.v(19470)
// ../rtl/topmodule/cortexm0ds_logic.v(19506)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Bc3bx6_reg|u_logic/Jz2bx6_reg (
.a({open_n92222,\u_logic/_al_u1951_o }),
.b({\u_logic/_al_u1959_o ,\u_logic/_al_u1066_o }),
.c({\u_logic/_al_u1914_o ,\u_logic/_al_u1940_o }),
.clk(clk_pad),
.d({\u_logic/_al_u1965_o ,\u_logic/A9row6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/Qyohu6 ,\u_logic/Lzohu6 }),
.q({\u_logic/Bc3bx6 ,\u_logic/Jz2bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19470)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*(~C*~B)*D*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*(~C*~B)*~(D)*0+A*(~C*~B)*~(D)*0+~(A)*(~C*~B)*D*0+A*(~C*~B)*D*0)"),
//.LUT1("(~(A)*(~C*~B)*D*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*(~C*~B)*~(D)*1+A*(~C*~B)*~(D)*1+~(A)*(~C*~B)*D*1+A*(~C*~B)*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000100000000),
.INIT_LUT1(16'b0000001111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Bciax6_reg (
.a({\u_logic/U6piu6 ,\u_logic/U6piu6 }),
.b({\u_logic/_al_u4304_o ,\u_logic/_al_u4304_o }),
.c({\u_logic/Wofiu6_lutinv ,\u_logic/Wofiu6_lutinv }),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.mi({open_n92250,\u_logic/Bciax6 }),
.sr(cpuresetn),
.q({open_n92256,\u_logic/Bciax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18574)
EG_PHY_LSLICE #(
//.LUTF0("((~B*~(D*C))*~(A)*~(0)+(~B*~(D*C))*A*~(0)+~((~B*~(D*C)))*A*0+(~B*~(D*C))*A*0)"),
//.LUTF1("~(~D*~(~C*B))"),
//.LUTG0("((~B*~(D*C))*~(A)*~(1)+(~B*~(D*C))*A*~(1)+~((~B*~(D*C)))*A*1+(~B*~(D*C))*A*1)"),
//.LUTG1("~(~D*~(~C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001100110011),
.INIT_LUTF1(16'b1111111100001100),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1111111100001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Bclpw6_reg|u_logic/_al_u2016 (
.a({open_n92257,\u_logic/R6zhu6 }),
.b({\u_logic/T8yhu6_lutinv ,\u_logic/_al_u1420_o }),
.c({\u_logic/Bclpw6 ,\u_logic/Rkzhu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u1873_o ,\u_logic/Bclpw6 }),
.e({open_n92259,\u_logic/Rilpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({open_n92274,\u_logic/D6zhu6_lutinv }),
.q({\u_logic/Bclpw6 ,open_n92278})); // ../rtl/topmodule/cortexm0ds_logic.v(17341)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Bdjpw6_reg (
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.mi({open_n92290,\u_logic/Bomiu6 }),
.q({open_n92308,\u_logic/vis_psp_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(17233)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b1111101111101010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Bf3qw6_reg (
.a({\u_logic/Oa4iu6 ,\u_logic/Oa4iu6 }),
.b({\u_logic/n394 ,\u_logic/n394 }),
.c({\u_logic/Bf3qw6 ,\u_logic/Bf3qw6 }),
.clk(clk_pad),
.d({\u_logic/T3opw6 ,\u_logic/T3opw6 }),
.mi({open_n92320,\u_logic/Vrkbx6 [6]}),
.q({open_n92327,\u_logic/Bf3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18033)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101010100110011),
.INIT_LUT1(16'b0101111100001111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Bfjpw6_reg (
.a({\u_logic/_al_u4711_o ,\u_logic/_al_u4711_o }),
.b({\u_logic/_al_u3941_o ,\u_logic/_al_u3941_o }),
.c({\u_logic/_al_u1394_o ,\u_logic/_al_u1394_o }),
.ce(\u_logic/Y5liu6 ),
.clk(clk_pad),
.d({\u_logic/Yi8iu6_lutinv ,\u_logic/Yi8iu6_lutinv }),
.mi({open_n92338,\u_logic/Ph8iu6_lutinv }),
.sr(cpuresetn),
.q({open_n92344,\u_logic/vis_apsr_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(17235)
// ../rtl/topmodule/cortexm0ds_logic.v(18292)
// ../rtl/topmodule/cortexm0ds_logic.v(18410)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(B*A*(D@C))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(B*A*(D@C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000100010000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Bngax6_reg|u_logic/Qmdax6_reg (
.a({\u_logic/_al_u3598_o ,\u_logic/_al_u3034_o }),
.b({\u_logic/_al_u3599_o ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/_al_u2613_o ,\u_logic/Cs1iu6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/B9eax6 ,\u_logic/Hjgax6 }),
.e({open_n92345,\u_logic/Nfgax6 }),
.mi({\u_logic/F94iu6 ,\u_logic/D84iu6 }),
.f({\u_logic/_al_u3600_o ,\u_logic/_al_u3035_o }),
.q({\u_logic/Bngax6 ,\u_logic/Qmdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18292)
// ../rtl/topmodule/cortexm0ds_logic.v(19966)
// ../rtl/topmodule/cortexm0ds_logic.v(18297)
EG_PHY_LSLICE #(
//.LUTF0("((D@B)*(C@A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("((D@B)*(C@A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001001001000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001001001000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Bwdax6_reg|u_logic/W0dbx6_reg (
.a({\u_logic/_al_u3631_o ,\u_logic/_al_u2460_o }),
.b({\u_logic/_al_u3633_o ,\u_logic/_al_u2589_o }),
.c({\u_logic/_al_u3635_o ,\u_logic/F2dax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/_al_u3636_o ,\u_logic/Yogax6 }),
.mi({\u_logic/E54iu6 ,\u_logic/Y84iu6 }),
.f({\u_logic/_al_u3637_o ,\u_logic/_al_u3636_o }),
.q({\u_logic/Bwdax6 ,\u_logic/W0dbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19966)
// ../rtl/topmodule/cortexm0ds_logic.v(17555)
// ../rtl/topmodule/cortexm0ds_logic.v(18008)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(0*B)*~(D*A))"),
//.LUTF1("~(B*~(C*D))"),
//.LUTG0("~(C*~(1*B)*~(D*A))"),
//.LUTG1("~(B*~(C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010111100001111),
.INIT_LUTF1(16'b1111001100110011),
.INIT_LUTG0(16'b1110111111001111),
.INIT_LUTG1(16'b1111001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Bx2qw6_reg|u_logic/Nfqpw6_reg (
.a({open_n92380,\u_logic/Cl1iu6 }),
.b({\u_logic/_al_u1035_o ,\u_logic/Vk1iu6 }),
.c({\u_logic/Xu2qw6 ,\u_logic/V52iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vk1iu6 ,\u_logic/Ehqpw6 }),
.e({open_n92382,\u_logic/Idqpw6 }),
.q({\u_logic/Bx2qw6 ,\u_logic/Nfqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17555)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/C07bx6_reg (
.a({\u_logic/_al_u2529_o ,\u_logic/_al_u2529_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Womiu6 ,\u_logic/Womiu6 }),
.mi({open_n92414,\u_logic/vis_pc_o[10] }),
.q({open_n92421,\u_logic/C07bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19765)
// ../rtl/topmodule/cortexm0ds_logic.v(20054)
// ../rtl/topmodule/cortexm0ds_logic.v(19578)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/C14bx6_reg|u_logic/Jdgbx6_reg (
.c({\u_logic/V73bx6 ,\u_logic/Cznow6 }),
.ce(\u_logic/n1111 ),
.clk(clk_pad),
.d({\u_logic/Usipw6 ,\u_logic/Kkyiu6 }),
.mi(HWDATA[23:22]),
.sr(cpuresetn),
.f({\u_logic/_al_u4105_o ,\u_logic/n1114 }),
.q({\u_logic/C14bx6 ,\u_logic/Jdgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20054)
// ../rtl/topmodule/cortexm0ds_logic.v(19995)
// ../rtl/topmodule/cortexm0ds_logic.v(17858)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~D*C*~B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~D*C*~B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000000000100000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/C2ypw6_reg|u_logic/Ojebx6_reg (
.a({\u_logic/Vuciu6 ,\u_logic/Vowiu6 }),
.b({\u_logic/Hw8ax6 ,\u_logic/Hw8ax6 }),
.c({\u_logic/Sqwpw6 ,\u_logic/Sqwpw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Zm8ax6 ,\u_logic/Zm8ax6 }),
.mi({\u_logic/L0ypw6 ,\u_logic/Bcdbx6 }),
.f({\u_logic/Vr1iu6 ,\u_logic/Iv1iu6 }),
.q({\u_logic/C2ypw6 ,\u_logic/Ojebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19995)
// ../rtl/topmodule/cortexm0ds_logic.v(20203)
// ../rtl/topmodule/cortexm0ds_logic.v(19170)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0111000011111000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/C30bx6_reg|u_logic/Tkjbx6_reg (
.a({HWDATA[8],HWDATA[9]}),
.b({\u_logic/O59iu6_lutinv ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/C30bx6 ,\u_logic/Tkjbx6 }),
.clk(clk_pad),
.d({\u_logic/Ztupw6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.q({\u_logic/C30bx6 ,\u_logic/Tkjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20203)
// ../rtl/topmodule/cortexm0ds_logic.v(19182)
// ../rtl/topmodule/cortexm0ds_logic.v(19176)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0111000011111000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0111000011111000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/C50bx6_reg|u_logic/D70bx6_reg (
.a({HWDATA[10],HWDATA[11]}),
.b({\u_logic/O59iu6_lutinv ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/C50bx6 ,\u_logic/D70bx6 }),
.clk(clk_pad),
.d({\u_logic/Ztupw6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.q({\u_logic/C50bx6 ,\u_logic/D70bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19182)
// ../rtl/topmodule/cortexm0ds_logic.v(19839)
// ../rtl/topmodule/cortexm0ds_logic.v(20040)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/C5gbx6_reg|u_logic/Nv9bx6_reg (
.a({open_n92501,\u_logic/_al_u3215_o }),
.b({\u_logic/Ukbpw6_lutinv ,\u_logic/_al_u3217_o }),
.c({\u_logic/Yqzax6 ,\u_logic/_al_u3218_o }),
.ce(\u_logic/n987 ),
.clk(clk_pad),
.d({\u_logic/_al_u1890_o ,\u_logic/C0fiu6 }),
.e({open_n92502,\u_logic/Pz9bx6 }),
.mi({HWDATA[22],HWDATA[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2223_o ,\u_logic/Kkriu6 }),
.q({\u_logic/C5gbx6 ,\u_logic/Nv9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19839)
// ../rtl/topmodule/cortexm0ds_logic.v(17531)
// ../rtl/topmodule/cortexm0ds_logic.v(17810)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/C9wpw6_reg|u_logic/O3ppw6_reg (
.a({\u_logic/_al_u745_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Rs4ju6_lutinv ,\u_logic/_al_u745_o }),
.c({\u_logic/vis_psp_o[14] ,\u_logic/vis_r14_o[26] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[16] ,\u_logic/vis_psp_o[24] }),
.mi({\u_logic/Admiu6 ,\u_logic/Bomiu6 }),
.f({\u_logic/_al_u774_o ,\u_logic/_al_u844_o }),
.q({\u_logic/vis_r9_o[16] ,\u_logic/vis_r9_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(17531)
// ../rtl/topmodule/cortexm0ds_logic.v(17429)
// ../rtl/topmodule/cortexm0ds_logic.v(17811)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cbwpw6_reg|u_logic/Mjmpw6_reg (
.a({\u_logic/_al_u864_o ,\u_logic/_al_u822_o }),
.b({\u_logic/_al_u865_o ,\u_logic/_al_u823_o }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/Nq4ju6_lutinv }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[29] ,\u_logic/vis_r11_o[23] }),
.mi({\u_logic/Admiu6 ,\u_logic/X1liu6 }),
.f({\u_logic/_al_u866_o ,\u_logic/_al_u824_o }),
.q({\u_logic/vis_r11_o[16] ,\u_logic/vis_r11_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(17429)
// ../rtl/topmodule/cortexm0ds_logic.v(18192)
// ../rtl/topmodule/cortexm0ds_logic.v(19948)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cccbx6_reg|u_logic/Ftaax6_reg (
.a({\u_logic/_al_u4280_o ,\u_logic/_al_u4442_o }),
.b({\u_logic/Kw1iu6_lutinv ,\u_logic/Dw1iu6 }),
.c({\u_logic/Cs1iu6 ,\u_logic/Iv1iu6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Knbbx6 ,\u_logic/vis_pc_o[22] }),
.e({\u_logic/Qjbbx6 ,\u_logic/Q1hbx6 }),
.mi({\u_logic/R84iu6 ,\u_logic/Df4iu6 }),
.f({\u_logic/_al_u4281_o ,\u_logic/_al_u4443_o }),
.q({\u_logic/Cccbx6 ,\u_logic/Ftaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18192)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~B*~A*~(0*C))"),
//.LUT1("~(~D*~B*~A*~(1*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111101110),
.INIT_LUT1(16'b1111111111111110),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ceabx6_reg (
.a({\u_logic/_al_u3056_o ,\u_logic/_al_u3056_o }),
.b({\u_logic/_al_u3240_o ,\u_logic/_al_u3240_o }),
.c({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u3241_o ,\u_logic/_al_u3241_o }),
.mi({open_n92581,\u_logic/Bf3qw6 }),
.q({open_n92588,\u_logic/Ceabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19887)
// ../rtl/topmodule/cortexm0ds_logic.v(17827)
// ../rtl/topmodule/cortexm0ds_logic.v(17775)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(D*~C)*~(0*A))"),
//.LUTF1("(~B*~(D*~C)*~(0*A))"),
//.LUTG0("(~B*~(D*~C)*~(1*A))"),
//.LUTG1("(~B*~(D*~C)*~(1*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000000110011),
.INIT_LUTF1(16'b0011000000110011),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b0001000000010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cfvpw6_reg|u_logic/Gwwpw6_reg (
.a({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.b({\u_logic/_al_u2974_o ,\u_logic/_al_u2974_o }),
.c({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Pdbbx6 ,\u_logic/Cjwpw6 }),
.e({\u_logic/Yubbx6 ,\u_logic/Dpwpw6 }),
.mi({\u_logic/Ldvpw6 ,\u_logic/Puwpw6 }),
.f({\u_logic/_al_u4274_o ,\u_logic/_al_u4250_o }),
.q({\u_logic/Cfvpw6 ,\u_logic/Gwwpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17827)
// ../rtl/topmodule/cortexm0ds_logic.v(18065)
// ../rtl/topmodule/cortexm0ds_logic.v(17813)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cfwpw6_reg|u_logic/Gr6ax6_reg (
.a({\u_logic/_al_u436_o ,\u_logic/_al_u1407_o }),
.b({\u_logic/_al_u437_o ,\u_logic/_al_u1408_o }),
.c({\u_logic/_al_u438_o ,\u_logic/Yfqow6 }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u439_o ,\u_logic/vis_psp_o[27] }),
.mi({\u_logic/Admiu6 ,\u_logic/Vx9iu6 }),
.f({\u_logic/G30iu6 ,\u_logic/_al_u1409_o }),
.q({\u_logic/vis_psp_o[14] ,\u_logic/vis_psp_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(18065)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Chwpw6_reg (
.a({\u_logic/_al_u2481_o ,\u_logic/_al_u2481_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Dbmiu6 ,\u_logic/Dbmiu6 }),
.mi({open_n92634,\u_logic/vis_pc_o[15] }),
.q({open_n92641,\u_logic/Chwpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17814)
// ../rtl/topmodule/cortexm0ds_logic.v(18920)
// ../rtl/topmodule/cortexm0ds_logic.v(19774)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ci7bx6_reg|u_logic/Vdvax6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/U9now6_lutinv }),
.b({\u_logic/Mnqow6 ,\u_logic/V6now6_lutinv }),
.c({\u_logic/vis_r6_o[10] ,\u_logic/vis_r0_o[15] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[10] ,\u_logic/vis_r2_o[15] }),
.mi({\u_logic/Vrmiu6 ,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u439_o ,\u_logic/_al_u220_o }),
.q({\u_logic/vis_r4_o[11] ,\u_logic/vis_r4_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(18920)
// ../rtl/topmodule/cortexm0ds_logic.v(17578)
// ../rtl/topmodule/cortexm0ds_logic.v(17563)
EG_PHY_MSLICE #(
//.LUT0("~(C@D)"),
//.LUT1("(D*~(B)*~(C)+~(D)*B*~(C)+D*B*~(C)+D*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000001111),
.INIT_LUT1(16'b1100111100001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Cjqpw6_reg|u_logic/Utqpw6_reg (
.b({\u_logic/Ehqpw6 ,open_n92658}),
.c({\u_logic/T0ipw6 ,\u_logic/Utqpw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Cjqpw6 ,\u_logic/C53iu6 }),
.sr(\u_logic/Kxhpw6 ),
.q({\u_logic/Cjqpw6 ,\u_logic/Utqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17578)
// ../rtl/topmodule/cortexm0ds_logic.v(19930)
// ../rtl/topmodule/cortexm0ds_logic.v(17815)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(B*~(D*C)))"),
//.LUT1("~(A*~(B*~(D*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101110111011101),
.INIT_LUT1(16'b0101110111011101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cjwpw6_reg|u_logic/Pdbbx6_reg (
.a({\u_logic/_al_u4250_o ,\u_logic/_al_u4274_o }),
.b({\u_logic/Wo1iu6 ,\u_logic/Wo1iu6 }),
.c({\u_logic/_al_u4262_o ,\u_logic/_al_u4277_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4268_o ,\u_logic/_al_u4283_o }),
.q({\u_logic/Cjwpw6 ,\u_logic/Pdbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19930)
// ../rtl/topmodule/cortexm0ds_logic.v(17853)
// ../rtl/topmodule/cortexm0ds_logic.v(19775)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ck7bx6_reg|u_logic/Hsxpw6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/V6now6_lutinv }),
.b({\u_logic/Fnqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r5_o[10] ,\u_logic/vis_r2_o[13] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[10] ,\u_logic/vis_r4_o[13] }),
.mi({\u_logic/Vrmiu6 ,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u438_o ,\u_logic/_al_u208_o }),
.q({\u_logic/vis_r5_o[11] ,\u_logic/vis_r5_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(17853)
// ../rtl/topmodule/cortexm0ds_logic.v(18817)
// ../rtl/topmodule/cortexm0ds_logic.v(19776)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cm7bx6_reg|u_logic/Eppax6_reg (
.a({\u_logic/Eqqow6 ,\u_logic/Mnqow6 }),
.b({\u_logic/Voqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r1_o[10] ,\u_logic/vis_r7_o[17] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[10] ,\u_logic/vis_r4_o[17] }),
.mi({\u_logic/Vrmiu6 ,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u437_o ,\u_logic/_al_u502_o }),
.q({\u_logic/vis_r6_o[11] ,\u_logic/vis_r6_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(18817)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~B*~A*~(0*C))"),
//.LUT1("~(~D*~B*~A*~(1*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111101110),
.INIT_LUT1(16'b1111111111111110),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cncbx6_reg (
.a({\u_logic/_al_u3055_o ,\u_logic/_al_u3055_o }),
.b({\u_logic/_al_u3056_o ,\u_logic/_al_u3056_o }),
.c({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u3057_o ,\u_logic/_al_u3057_o }),
.mi({open_n92739,\u_logic/Nlcbx6 }),
.q({open_n92746,\u_logic/Cncbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19954)
// ../rtl/topmodule/cortexm0ds_logic.v(18946)
// ../rtl/topmodule/cortexm0ds_logic.v(19777)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Co7bx6_reg|u_logic/Ltwax6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/U9now6_lutinv }),
.b({\u_logic/Xpqow6 ,\u_logic/V6now6_lutinv }),
.c({\u_logic/vis_r3_o[10] ,\u_logic/vis_r0_o[10] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[10] ,\u_logic/vis_r2_o[10] }),
.mi({\u_logic/Vrmiu6 ,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u436_o ,\u_logic/_al_u377_o }),
.q({\u_logic/vis_r7_o[11] ,\u_logic/vis_r7_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(18946)
// ../rtl/topmodule/cortexm0ds_logic.v(17947)
// ../rtl/topmodule/cortexm0ds_logic.v(17628)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cqrpw6_reg|u_logic/Ht1qw6_reg (
.a({\u_logic/_al_u742_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r9_o[9] ,\u_logic/vis_r10_o[28] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[7] ,\u_logic/vis_r14_o[28] }),
.mi({\u_logic/Drkiu6 ,\u_logic/K3niu6 }),
.f({\u_logic/_al_u883_o ,\u_logic/_al_u855_o }),
.q({\u_logic/vis_r9_o[7] ,\u_logic/vis_r9_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(17947)
// ../rtl/topmodule/cortexm0ds_logic.v(20168)
// ../rtl/topmodule/cortexm0ds_logic.v(19761)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cs6bx6_reg|u_logic/R9ibx6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/V6now6_lutinv }),
.b({\u_logic/Voqow6 ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r6_o[8] ,\u_logic/vis_r2_o[30] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[8] ,\u_logic/vis_r5_o[30] }),
.mi({\u_logic/X6niu6 ,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u557_o ,\u_logic/_al_u316_o }),
.q({\u_logic/vis_r3_o[8] ,\u_logic/vis_r3_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20168)
// ../rtl/topmodule/cortexm0ds_logic.v(18824)
// ../rtl/topmodule/cortexm0ds_logic.v(18820)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cvpax6_reg|u_logic/A3qax6_reg (
.a({\u_logic/_al_u345_o ,\u_logic/C7now6_lutinv }),
.b({\u_logic/_al_u346_o ,\u_logic/U9now6_lutinv }),
.c({\u_logic/_al_u347_o ,\u_logic/vis_r6_o[2] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/_al_u348_o ,\u_logic/vis_r0_o[2] }),
.mi({\u_logic/Qcaiu6 ,\u_logic/D39iu6 }),
.f({\u_logic/F60iu6 ,\u_logic/_al_u347_o }),
.q({\u_logic/vis_r2_o[2] ,\u_logic/vis_r2_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18824)
// ../rtl/topmodule/cortexm0ds_logic.v(19989)
// ../rtl/topmodule/cortexm0ds_logic.v(19964)
EG_PHY_MSLICE #(
//.LUT0("(~(~C*~B)*~(~D*~A))"),
//.LUT1("(B*A*(D@C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110010101000),
.INIT_LUT1(16'b0000100010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cxcbx6_reg|u_logic/G8ebx6_reg (
.a({\u_logic/_al_u3566_o ,\u_logic/_al_u2505_o }),
.b({\u_logic/_al_u3567_o ,\u_logic/_al_u2533_o }),
.c({\u_logic/_al_u2607_o ,\u_logic/Bccax6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/C1fax6 ,\u_logic/Nnfbx6 }),
.mi({\u_logic/Y84iu6 ,\u_logic/I74iu6 }),
.f({\u_logic/_al_u3568_o ,\u_logic/_al_u3543_o }),
.q({\u_logic/Cxcbx6 ,\u_logic/G8ebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19989)
// ../rtl/topmodule/cortexm0ds_logic.v(18120)
// ../rtl/topmodule/cortexm0ds_logic.v(19984)
EG_PHY_MSLICE #(
//.LUT0("~(B*~A*~(~D*C))"),
//.LUT1("~(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011101111111011),
.INIT_LUT1(16'b1100000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cydbx6_reg|u_logic/Sd8ax6_reg (
.a({open_n92821,\u_logic/_al_u2975_o }),
.b({\u_logic/Bo1iu6 ,\u_logic/P3tiu6 }),
.c({\u_logic/Nwdbx6 ,\u_logic/Wo1iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u3128_o ,\u_logic/_al_u4329_o }),
.q({\u_logic/Cydbx6 ,\u_logic/Sd8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18120)
// ../rtl/topmodule/cortexm0ds_logic.v(18899)
// ../rtl/topmodule/cortexm0ds_logic.v(18895)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/D0uax6_reg|u_logic/B8uax6_reg (
.a({\u_logic/_al_u249_o ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/Yc7pw6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/_al_u251_o ,\u_logic/vis_r4_o[2] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u252_o ,\u_logic/vis_r7_o[2] }),
.mi({\u_logic/Qcaiu6 ,\u_logic/D39iu6 }),
.f({\u_logic/E90iu6 ,\u_logic/_al_u346_o }),
.q({\u_logic/vis_r4_o[2] ,\u_logic/vis_r4_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18899)
// ../rtl/topmodule/cortexm0ds_logic.v(17256)
// ../rtl/topmodule/cortexm0ds_logic.v(17952)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*~(~B*A)))"),
//.LUT1("~(C*~(D*~(B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101111100001111),
.INIT_LUT1(16'b1011111100001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/D12qw6_reg|u_logic/Lqjpw6_reg (
.a({\u_logic/_al_u4693_o ,\u_logic/_al_u4691_o }),
.b({\u_logic/_al_u4714_o ,\u_logic/_al_u4693_o }),
.c({\u_logic/_al_u4759_o ,\u_logic/_al_u4795_o }),
.clk(clk_pad),
.d({\u_logic/Zgziu6_lutinv ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.q({\u_logic/vis_pc_o[27] ,\u_logic/vis_pc_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(17256)
// ../rtl/topmodule/cortexm0ds_logic.v(17895)
// ../rtl/topmodule/cortexm0ds_logic.v(17896)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/D1zpw6_reg|u_logic/Ezypw6_reg (
.a({\u_logic/_al_u899_o ,\u_logic/_al_u914_o }),
.b({\u_logic/_al_u900_o ,\u_logic/_al_u915_o }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/Gq4ju6_lutinv }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[4] ,\u_logic/vis_r12_o[3] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u901_o ,\u_logic/_al_u916_o }),
.q({\u_logic/vis_psp_o[1] ,\u_logic/vis_psp_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(17895)
// ../rtl/topmodule/cortexm0ds_logic.v(19945)
// ../rtl/topmodule/cortexm0ds_logic.v(17596)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(~D*~C*~B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/D2rpw6_reg|u_logic/A6cbx6_reg (
.a({\u_logic/_al_u2278_o ,\u_logic/Bo1iu6 }),
.b({HWDATA[29],\u_logic/D7xiu6_lutinv }),
.c({HWDATA[30],\u_logic/Idqpw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({HWDATA[31],\u_logic/Vqgax6 }),
.mi({\u_logic/Nfqpw6 ,\u_logic/J4cbx6 }),
.f({\u_logic/_al_u2279_o ,\u_logic/_al_u3030_o }),
.q({\u_logic/D2rpw6 ,\u_logic/A6cbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19945)
// ../rtl/topmodule/cortexm0ds_logic.v(20000)
// ../rtl/topmodule/cortexm0ds_logic.v(19749)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/D46bx6_reg|u_logic/Ttebx6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/C7now6_lutinv }),
.b({\u_logic/Voqow6 ,\u_logic/V6now6_lutinv }),
.c({\u_logic/vis_r6_o[21] ,\u_logic/vis_r6_o[22] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[21] ,\u_logic/vis_r2_o[22] }),
.mi({\u_logic/Fyliu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u534_o ,\u_logic/Dk6pw6 }),
.q({\u_logic/vis_r3_o[21] ,\u_logic/vis_r3_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20000)
// ../rtl/topmodule/cortexm0ds_logic.v(19719)
// ../rtl/topmodule/cortexm0ds_logic.v(19750)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/D66bx6_reg|u_logic/Nk5bx6_reg (
.a({\u_logic/U9now6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/V6now6_lutinv }),
.c({\u_logic/vis_r0_o[20] ,\u_logic/vis_r6_o[27] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[20] ,\u_logic/vis_r2_o[27] }),
.mi({\u_logic/E1miu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u257_o ,\u_logic/Ja5pw6 }),
.q({\u_logic/vis_r3_o[20] ,\u_logic/vis_r3_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(19719)
// ../rtl/topmodule/cortexm0ds_logic.v(18958)
// ../rtl/topmodule/cortexm0ds_logic.v(20045)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/D7gbx6_reg|u_logic/J7xax6_reg (
.a({\u_logic/_al_u3009_o ,\u_logic/F0eow6 }),
.b({\u_logic/_al_u4315_o ,\u_logic/M0eow6 }),
.c({\u_logic/M6eiu6 ,\u_logic/Hf0bx6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Pdxax6 ,\u_logic/Yxrpw6 }),
.mi({HWDATA[22],HWDATA[23]}),
.f({\u_logic/_al_u4316_o ,\u_logic/_al_u4295_o }),
.q({\u_logic/D7gbx6 ,\u_logic/J7xax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18958)
// ../rtl/topmodule/cortexm0ds_logic.v(19752)
// ../rtl/topmodule/cortexm0ds_logic.v(19751)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/D86bx6_reg|u_logic/Da6bx6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/Mnqow6 }),
.b({\u_logic/Xpqow6 ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r3_o[21] ,\u_logic/vis_r5_o[21] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[21] ,\u_logic/vis_r4_o[21] }),
.mi({\u_logic/D4miu6 ,\u_logic/C7miu6 }),
.f({\u_logic/_al_u533_o ,\u_logic/Klyow6 }),
.q({\u_logic/vis_r3_o[19] ,\u_logic/vis_r3_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(19752)
// ../rtl/topmodule/cortexm0ds_logic.v(19753)
// ../rtl/topmodule/cortexm0ds_logic.v(19754)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/De6bx6_reg|u_logic/Dc6bx6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/Panow6_lutinv ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r3_o[16] ,\u_logic/vis_r5_o[16] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[16] ,\u_logic/vis_r2_o[16] }),
.mi({\u_logic/Admiu6 ,\u_logic/Bamiu6 }),
.f({\u_logic/_al_u228_o ,\u_logic/_al_u610_o }),
.q({\u_logic/vis_r3_o[16] ,\u_logic/vis_r3_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(19753)
// ../rtl/topmodule/cortexm0ds_logic.v(19759)
// ../rtl/topmodule/cortexm0ds_logic.v(19756)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Di6bx6_reg|u_logic/Do6bx6_reg (
.a({\u_logic/_al_u610_o ,\u_logic/Kmqow6 }),
.b({\u_logic/_al_u611_o ,\u_logic/Voqow6 }),
.c({\u_logic/Tnqow6 ,\u_logic/vis_r6_o[17] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/Aoqow6 ,\u_logic/vis_r1_o[17] }),
.mi({\u_logic/Jlmiu6 ,\u_logic/Hymiu6 }),
.f({\u_logic/Q10iu6 ,\u_logic/_al_u505_o }),
.q({\u_logic/vis_r3_o[13] ,\u_logic/vis_r3_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(19759)
// ../rtl/topmodule/cortexm0ds_logic.v(19721)
// ../rtl/topmodule/cortexm0ds_logic.v(19757)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Dk6bx6_reg|u_logic/No5bx6_reg (
.a({\u_logic/Wanow6_lutinv ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/V6now6_lutinv }),
.c({\u_logic/vis_r5_o[12] ,\u_logic/vis_r7_o[29] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[12] ,\u_logic/vis_r2_o[29] }),
.mi({\u_logic/Bomiu6 ,\u_logic/P4liu6 }),
.f({\u_logic/_al_u202_o ,\u_logic/_al_u309_o }),
.q({\u_logic/vis_r3_o[12] ,\u_logic/vis_r3_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(19721)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Dm6bx6_reg (
.a({\u_logic/_al_u2460_o ,\u_logic/_al_u2460_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Emmiu6 ,\u_logic/Emmiu6 }),
.mi({open_n93038,\u_logic/vis_pc_o[11] }),
.q({open_n93045,\u_logic/Dm6bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19758)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(~C*(~A*~(D)*~(0)+~A*D*~(0)+~(~A)*D*0+~A*D*0)))"),
//.LUT1("~(~B*~(~C*(~A*~(D)*~(1)+~A*D*~(1)+~(~A)*D*1+~A*D*1)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110111001101),
.INIT_LUT1(16'b1100111111001100),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Dqkbx6_reg (
.a({\u_logic/_al_u1114_o ,\u_logic/_al_u1114_o }),
.b({\u_logic/_al_u633_o ,\u_logic/_al_u633_o }),
.c({\u_logic/Ahlpw6 ,\u_logic/Ahlpw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({SWDOEN,SWDOEN}),
.mi({open_n93057,\u_logic/Pmlpw6 }),
.sr(\u_logic/Kxhpw6 ),
.q({open_n93063,SWDOEN})); // ../rtl/topmodule/cortexm0ds_logic.v(20269)
// ../rtl/topmodule/cortexm0ds_logic.v(17999)
// ../rtl/topmodule/cortexm0ds_logic.v(19961)
EG_PHY_MSLICE #(
//.LUT0("(~C*~A*~(D*B))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000100000101),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Drcbx6_reg|u_logic/Bp2qw6_reg (
.a({\u_logic/HALTED ,\u_logic/_al_u3228_o }),
.b({\u_logic/Cvciu6 ,\u_logic/Bo1iu6 }),
.c({\u_logic/Vuciu6 ,\u_logic/Qwpiu6_lutinv }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Dg2qw6 ,\u_logic/Vn9bx6 }),
.mi({\u_logic/S2cbx6 ,\u_logic/Kn2qw6 }),
.f({\u_logic/_al_u739_o ,\u_logic/_al_u3229_o }),
.q({\u_logic/Drcbx6 ,\u_logic/Bp2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17999)
// ../rtl/topmodule/cortexm0ds_logic.v(18082)
// ../rtl/topmodule/cortexm0ds_logic.v(18528)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~B*~A*~(0*D))"),
//.LUTF1("~(B*~(C*~D))"),
//.LUTG0("~(C*~B*~A*~(1*D))"),
//.LUTG1("~(B*~(C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111111101111),
.INIT_LUTF1(16'b0011001111110011),
.INIT_LUTG0(16'b1111111111101111),
.INIT_LUTG1(16'b0011001111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Drhax6_reg|u_logic/C37ax6_reg (
.a({open_n93078,\u_logic/_al_u4684_o }),
.b({\u_logic/_al_u4789_o ,\u_logic/_al_u4663_o }),
.c({\u_logic/Zgziu6_lutinv ,\u_logic/_al_u4685_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4651_o ,\u_logic/Egziu6 }),
.e({open_n93080,\u_logic/Nxkbx6 [24]}),
.sr(cpuresetn),
.q({\u_logic/vis_pc_o[5] ,\u_logic/vis_pc_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(18082)
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~B*~A*~(~0*D)))"),
//.LUT1("(~C*~(~B*~A*~(~1*D)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100001110),
.INIT_LUT1(16'b0000111000001110),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Dt1bx6_reg (
.a({\u_logic/_al_u2040_o ,\u_logic/_al_u2040_o }),
.b({\u_logic/_al_u2041_o ,\u_logic/_al_u2041_o }),
.c({\u_logic/_al_u2043_o ,\u_logic/_al_u2043_o }),
.clk(clk_pad),
.d({key_interrupt[13],key_interrupt[13]}),
.mi({open_n93111,\u_logic/Ar1bx6 }),
.sr(cpuresetn),
.fx({open_n93115,\u_logic/I1phu6 }),
.q({open_n93116,\u_logic/Dt1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19350)
// ../rtl/topmodule/cortexm0ds_logic.v(18800)
// ../rtl/topmodule/cortexm0ds_logic.v(18819)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Dtpax6_reg|u_logic/Hroax6_reg (
.a({\u_logic/_al_u556_o ,\u_logic/_al_u321_o }),
.b({\u_logic/_al_u557_o ,\u_logic/_al_u322_o }),
.c({\u_logic/_al_u558_o ,\u_logic/_al_u323_o }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/Wlxow6 ,\u_logic/_al_u324_o }),
.mi({\u_logic/X6niu6 ,\u_logic/Sokiu6 }),
.f({\u_logic/Lvzhu6 ,\u_logic/P40iu6 }),
.q({\u_logic/vis_r6_o[8] ,\u_logic/vis_r6_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(18800)
// ../rtl/topmodule/cortexm0ds_logic.v(19482)
// ../rtl/topmodule/cortexm0ds_logic.v(19458)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(~A*~(D*C*B))"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(~A*~(D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b0001010101010101),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0001010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Dv2bx6_reg|u_logic/P33bx6_reg (
.a({\u_logic/_al_u1939_o ,\u_logic/_al_u1953_o }),
.b({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.c({\u_logic/_al_u1940_o ,\u_logic/_al_u1940_o }),
.clk(clk_pad),
.d({\u_logic/Ukbpw6_lutinv ,\u_logic/_al_u1067_o }),
.sr(cpuresetn),
.f({\u_logic/Nwdpw6 ,\u_logic/Ezohu6 }),
.q({\u_logic/Dv2bx6 ,\u_logic/P33bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19482)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(0*D*C))"),
//.LUT1("~(B*A*~(1*D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111011101110111),
.INIT_LUT1(16'b1111011101110111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Dxvpw6_reg (
.a({\u_logic/_al_u2854_o ,\u_logic/_al_u2854_o }),
.b({\u_logic/Uu8iu6 ,\u_logic/Uu8iu6 }),
.c({\u_logic/Vihiu6_lutinv ,\u_logic/Vihiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Rw8iu6 ,\u_logic/Rw8iu6 }),
.mi({open_n93162,\u_logic/_al_u2850_o }),
.sr(cpuresetn),
.q({open_n93168,\u_logic/Dxvpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17790)
// ../rtl/topmodule/cortexm0ds_logic.v(17520)
// ../rtl/topmodule/cortexm0ds_logic.v(17795)
EG_PHY_LSLICE #(
//.LUTF0("~(B*A*~(D*C))"),
//.LUTF1("~(B*A*~(D*C))"),
//.LUTG0("~(B*A*~(D*C))"),
//.LUTG1("~(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011101110111),
.INIT_LUTF1(16'b1111011101110111),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b1111011101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Dzvpw6_reg|u_logic/Shopw6_reg (
.a({\u_logic/Ir6ow6 ,\u_logic/Ir6ow6 }),
.b({\u_logic/_al_u970_o ,\u_logic/_al_u972_o }),
.c({\u_logic/P91ju6 ,\u_logic/P91ju6 }),
.ce(\u_logic/n3767 ),
.clk(clk_pad),
.d({\u_logic/Jckax6 ,\u_logic/Wkipw6 }),
.q({\u_logic/Dzvpw6 ,\u_logic/Shopw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17520)
// ../rtl/topmodule/cortexm0ds_logic.v(17451)
// ../rtl/topmodule/cortexm0ds_logic.v(17448)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E1npw6_reg|u_logic/E7npw6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/Panow6_lutinv ,\u_logic/N9now6_lutinv }),
.c({\u_logic/vis_r3_o[20] ,\u_logic/vis_r6_o[20] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[20] ,\u_logic/vis_r1_o[20] }),
.mi({\u_logic/X1liu6 ,\u_logic/E1miu6 }),
.f({\u_logic/_al_u256_o ,\u_logic/_al_u255_o }),
.q({\u_logic/vis_r0_o[27] ,\u_logic/vis_r0_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(17451)
// ../rtl/topmodule/cortexm0ds_logic.v(17453)
// ../rtl/topmodule/cortexm0ds_logic.v(17449)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E3npw6_reg|u_logic/Ebnpw6_reg (
.a({\u_logic/Eqqow6 ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Voqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r1_o[29] ,\u_logic/vis_r1_o[12] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[29] ,\u_logic/vis_r4_o[12] }),
.mi({\u_logic/P4liu6 ,\u_logic/Bomiu6 }),
.f({\u_logic/_al_u607_o ,\u_logic/_al_u201_o }),
.q({\u_logic/vis_r0_o[29] ,\u_logic/vis_r0_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(17453)
// ../rtl/topmodule/cortexm0ds_logic.v(19997)
// ../rtl/topmodule/cortexm0ds_logic.v(17450)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E5npw6_reg|u_logic/Tnebx6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Mnqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r6_o[18] ,\u_logic/vis_r4_o[22] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[18] ,\u_logic/vis_r3_o[22] }),
.mi({\u_logic/Fyliu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u240_o ,\u_logic/_al_u540_o }),
.q({\u_logic/vis_r0_o[21] ,\u_logic/vis_r0_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(19997)
// ../rtl/topmodule/cortexm0ds_logic.v(18802)
// ../rtl/topmodule/cortexm0ds_logic.v(18807)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E5pax6_reg|u_logic/Fvoax6_reg (
.a({\u_logic/Xpqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Fnqow6 ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r5_o[29] ,\u_logic/vis_r0_o[29] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[29] ,\u_logic/vis_r4_o[29] }),
.mi({\u_logic/Hsliu6 ,\u_logic/Zvkiu6 }),
.f({\u_logic/_al_u606_o ,\u_logic/_al_u604_o }),
.q({\u_logic/vis_r6_o[25] ,\u_logic/vis_r6_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18802)
// ../rtl/topmodule/cortexm0ds_logic.v(20007)
// ../rtl/topmodule/cortexm0ds_logic.v(18808)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E7pax6_reg|u_logic/T7fbx6_reg (
.a({\u_logic/Wanow6_lutinv ,\u_logic/X7now6_lutinv }),
.b({\u_logic/E8now6_lutinv ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r7_o[18] ,\u_logic/vis_r4_o[22] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[18] ,\u_logic/vis_r5_o[22] }),
.mi({\u_logic/Fyliu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u239_o ,\u_logic/Wj6pw6 }),
.q({\u_logic/vis_r6_o[21] ,\u_logic/vis_r6_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20007)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000011),
.INIT_LUT1(16'b1011101110001011),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E8iax6_reg (
.a({\u_logic/_al_u4413_o ,\u_logic/_al_u4413_o }),
.b({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.c({\u_logic/_al_u4414_o ,\u_logic/_al_u4414_o }),
.clk(clk_pad),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.mi({open_n93284,\u_logic/Xlfpw6 [1]}),
.q({open_n93291,\u_logic/E8iax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18566)
// ../rtl/topmodule/cortexm0ds_logic.v(18804)
// ../rtl/topmodule/cortexm0ds_logic.v(18809)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E9pax6_reg|u_logic/Fzoax6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Xpqow6 ,\u_logic/Voqow6 }),
.c({\u_logic/vis_r3_o[26] ,\u_logic/vis_r0_o[26] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[26] ,\u_logic/vis_r1_o[26] }),
.mi({\u_logic/E1miu6 ,\u_logic/X1liu6 }),
.f({\u_logic/_al_u577_o ,\u_logic/Wtwow6 }),
.q({\u_logic/vis_r6_o[20] ,\u_logic/vis_r6_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(18804)
// ../rtl/topmodule/cortexm0ds_logic.v(18811)
// ../rtl/topmodule/cortexm0ds_logic.v(18810)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ebpax6_reg|u_logic/Edpax6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/U9now6_lutinv }),
.b({\u_logic/V6now6_lutinv ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r1_o[18] ,\u_logic/vis_r3_o[18] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[18] ,\u_logic/vis_r0_o[18] }),
.mi({\u_logic/D4miu6 ,\u_logic/C7miu6 }),
.f({\u_logic/_al_u238_o ,\u_logic/_al_u237_o }),
.q({\u_logic/vis_r6_o[19] ,\u_logic/vis_r6_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18811)
// ../rtl/topmodule/cortexm0ds_logic.v(18878)
// ../rtl/topmodule/cortexm0ds_logic.v(18883)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100000101010),
.INIT_LUT1(16'b0000100000101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ectax6_reg|u_logic/F2tax6_reg (
.a({\u_logic/_al_u3651_o ,\u_logic/_al_u3651_o }),
.b({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.c({\u_logic/C80iu6 ,\u_logic/V70iu6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/O70iu6 ,\u_logic/H70iu6 }),
.mi({\u_logic/Hsliu6 ,\u_logic/Zvkiu6 }),
.f({\u_logic/_al_u3926_o ,\u_logic/_al_u3693_o }),
.q({\u_logic/vis_r12_o[25] ,\u_logic/vis_r12_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18878)
// ../rtl/topmodule/cortexm0ds_logic.v(17445)
// ../rtl/topmodule/cortexm0ds_logic.v(17454)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ednpw6_reg|u_logic/Gvmpw6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/Xpqow6 }),
.b({\u_logic/Kmqow6 ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r0_o[30] ,\u_logic/vis_r5_o[30] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[30] ,\u_logic/vis_r3_o[30] }),
.mi({\u_logic/Gfniu6 ,\u_logic/K39iu6 }),
.f({\u_logic/_al_u595_o ,\u_logic/_al_u594_o }),
.q({\u_logic/vis_r0_o[28] ,\u_logic/vis_r0_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(17445)
// ../rtl/topmodule/cortexm0ds_logic.v(19978)
// ../rtl/topmodule/cortexm0ds_logic.v(18185)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0@D))"),
//.LUTF1("(D*~(~C*B))"),
//.LUTG0("(C*B*A*~(1@D))"),
//.LUTG1("(D*~(~C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b1111001100000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Egaax6_reg|u_logic/Cndbx6_reg (
.a({open_n93348,\u_logic/_al_u3346_o }),
.b({\u_logic/_al_u3295_o ,\u_logic/_al_u3350_o }),
.c({\u_logic/T7bax6 ,\u_logic/_al_u3352_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/_al_u3293_o ,\u_logic/Uwkhu6 }),
.e({open_n93349,\u_logic/Oi9ax6 }),
.mi({\u_logic/E54iu6 ,\u_logic/B74iu6 }),
.f({\u_logic/_al_u3317_o ,\u_logic/_al_u3355_o }),
.q({\u_logic/Egaax6 ,\u_logic/Cndbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19978)
// ../rtl/topmodule/cortexm0ds_logic.v(19272)
// ../rtl/topmodule/cortexm0ds_logic.v(20109)
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(D*C))"),
//.LUT1("(D*C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000010001000100),
.INIT_LUT1(16'b0001000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Eghbx6_reg|u_logic/S11bx6_reg (
.a({\u_logic/_al_u2351_o ,\u_logic/_al_u2351_o }),
.b({\u_logic/_al_u1906_o ,\u_logic/_al_u2431_o }),
.c({\u_logic/_al_u2415_o ,HWDATA[0]}),
.clk(clk_pad),
.d({key_interrupt[0],\u_logic/Kwfiu6 }),
.sr(cpuresetn),
.q({\u_logic/Eghbx6 ,\u_logic/S11bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19272)
// ../rtl/topmodule/cortexm0ds_logic.v(18870)
// ../rtl/topmodule/cortexm0ds_logic.v(18885)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Egtax6_reg|u_logic/Kmsax6_reg (
.a({\u_logic/_al_u1326_o ,\u_logic/_al_u1413_o }),
.b({\u_logic/H00iu6 ,\u_logic/Fgqow6 }),
.c({\u_logic/_al_u1327_o ,\u_logic/Yfqow6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Hhqow6 ,\u_logic/vis_psp_o[14] }),
.e({\u_logic/vis_r12_o[20] ,\u_logic/vis_msp_o[14] }),
.mi({\u_logic/E1miu6 ,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u1328_o ,\u_logic/_al_u1414_o }),
.q({\u_logic/vis_r12_o[20] ,\u_logic/vis_r12_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18870)
// ../rtl/topmodule/cortexm0ds_logic.v(17225)
// ../rtl/topmodule/cortexm0ds_logic.v(17456)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ehnpw6_reg|u_logic/Uwipw6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r1_o[2] ,\u_logic/vis_r7_o[5] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[2] ,\u_logic/vis_r4_o[5] }),
.mi({\u_logic/D39iu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u345_o ,\u_logic/_al_u358_o }),
.q({\u_logic/vis_r0_o[31] ,\u_logic/vis_r0_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(17225)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ehpax6_reg (
.a({open_n93414,\u_logic/U9now6_lutinv }),
.b({open_n93415,\u_logic/E8now6_lutinv }),
.c({open_n93416,\u_logic/vis_r0_o[16] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({open_n93417,\u_logic/vis_r4_o[16] }),
.mi({open_n93421,\u_logic/Admiu6 }),
.f({open_n93434,\u_logic/_al_u227_o }),
.q({open_n93438,\u_logic/vis_r6_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(18813)
// ../rtl/topmodule/cortexm0ds_logic.v(19794)
// ../rtl/topmodule/cortexm0ds_logic.v(18815)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Elpax6_reg|u_logic/Zb8bx6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/U9now6_lutinv }),
.b({\u_logic/Xpqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r3_o[17] ,\u_logic/vis_r4_o[17] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[17] ,\u_logic/vis_r0_o[17] }),
.mi({\u_logic/Jlmiu6 ,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u503_o ,\u_logic/_al_u231_o }),
.q({\u_logic/vis_r6_o[13] ,\u_logic/vis_r6_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19794)
// ../rtl/topmodule/cortexm0ds_logic.v(18890)
// ../rtl/topmodule/cortexm0ds_logic.v(18888)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Emtax6_reg|u_logic/Eqtax6_reg (
.a({\u_logic/Dfqow6 ,\u_logic/Dfqow6 }),
.b({\u_logic/Ahqow6 ,\u_logic/Hhqow6 }),
.c({\u_logic/F8cbx6 ,\u_logic/Chwpw6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[26] ,\u_logic/vis_r12_o[16] }),
.mi({\u_logic/Bamiu6 ,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u1372_o ,\u_logic/_al_u1415_o }),
.q({\u_logic/vis_r12_o[17] ,\u_logic/vis_r12_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18890)
// ../rtl/topmodule/cortexm0ds_logic.v(18803)
// ../rtl/topmodule/cortexm0ds_logic.v(18816)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Enpax6_reg|u_logic/Fxoax6_reg (
.a({\u_logic/_al_u460_o ,\u_logic/Kmqow6 }),
.b({\u_logic/Lm1pw6 ,\u_logic/Eqqow6 }),
.c({\u_logic/Vk1pw6 ,\u_logic/vis_r6_o[26] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/_al_u463_o ,\u_logic/vis_r7_o[26] }),
.mi({\u_logic/Bomiu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/S20iu6 ,\u_logic/_al_u576_o }),
.q({\u_logic/vis_r6_o[12] ,\u_logic/vis_r6_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(18803)
// ../rtl/topmodule/cortexm0ds_logic.v(18881)
// ../rtl/topmodule/cortexm0ds_logic.v(18889)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111111101001100),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Eotax6_reg|u_logic/F8tax6_reg (
.a({\u_logic/_al_u1347_o ,\u_logic/_al_u880_o }),
.b({\u_logic/Mzzhu6 ,\u_logic/_al_u673_o }),
.c({\u_logic/Dfqow6 ,\u_logic/P40iu6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Ztgbx6 ,\u_logic/Ua9bx6 }),
.mi({\u_logic/Admiu6 ,\u_logic/P4liu6 }),
.f({\u_logic/_al_u1348_o ,\u_logic/_al_u881_o }),
.q({\u_logic/vis_r12_o[16] ,\u_logic/vis_r12_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(18881)
// ../rtl/topmodule/cortexm0ds_logic.v(19809)
// ../rtl/topmodule/cortexm0ds_logic.v(20018)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Etfbx6_reg|u_logic/F59bx6_reg (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/Zt1iu6 }),
.b({\u_logic/Dw1iu6 ,\u_logic/Vr1iu6 }),
.c({\u_logic/Tt9ax6 ,\u_logic/Biaax6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Y2fax6 ,\u_logic/F2dax6 }),
.mi({\u_logic/P74iu6 ,\u_logic/Q44iu6 }),
.f({\u_logic/_al_u3505_o ,\u_logic/_al_u4384_o }),
.q({\u_logic/Etfbx6 ,\u_logic/F59bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19809)
// ../rtl/topmodule/cortexm0ds_logic.v(18299)
// ../rtl/topmodule/cortexm0ds_logic.v(18296)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Eudax6_reg|u_logic/Vzdax6_reg (
.a({\u_logic/Xs1iu6 ,\u_logic/Dw1iu6 }),
.b({\u_logic/Ar1iu6 ,\u_logic/Iv1iu6 }),
.c({\u_logic/Dm6bx6 ,\u_logic/vis_pc_o[11] }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Yxdax6 ,\u_logic/Rteax6 }),
.mi({\u_logic/S54iu6 ,\u_logic/J44iu6 }),
.f({\u_logic/_al_u4387_o ,\u_logic/_al_u4386_o }),
.q({\u_logic/Eudax6 ,\u_logic/Vzdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18299)
// ../rtl/topmodule/cortexm0ds_logic.v(18260)
// ../rtl/topmodule/cortexm0ds_logic.v(18248)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C*~(0*B)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(D*C*~(1*B)))"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000101010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Evbax6_reg|u_logic/Phcax6_reg (
.a({open_n93527,\u_logic/Wo1iu6 }),
.b({\u_logic/Sbfax6 ,\u_logic/LOCKUP }),
.c({\u_logic/Vz8ax6 ,\u_logic/_al_u3137_o }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Gr2qw6 ,\u_logic/_al_u3139_o }),
.e({open_n93528,\u_logic/_al_u410_o }),
.mi({\u_logic/D84iu6 ,\u_logic/Df4iu6 }),
.f({\u_logic/_al_u3382_o ,\u_logic/_al_u3140_o }),
.q({\u_logic/Evbax6 ,\u_logic/Phcax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18260)
// ../rtl/topmodule/cortexm0ds_logic.v(17934)
// ../rtl/topmodule/cortexm0ds_logic.v(17894)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Exypw6_reg|u_logic/O41qw6_reg (
.a({\u_logic/Hhqow6 ,\u_logic/_al_u1361_o }),
.b({\u_logic/Ahqow6 ,\u_logic/Yyzhu6 }),
.c({\u_logic/vis_r12_o[30] ,\u_logic/_al_u1362_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[30] ,\u_logic/Hhqow6 }),
.e({open_n93545,\u_logic/vis_r12_o[25] }),
.mi({\u_logic/K39iu6 ,\u_logic/X6niu6 }),
.f({\u_logic/_al_u1393_o ,\u_logic/_al_u1363_o }),
.q({\u_logic/vis_psp_o[28] ,\u_logic/vis_psp_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17934)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/F1pax6_reg (
.a({open_n93562,\u_logic/Dmqow6 }),
.b({open_n93563,\u_logic/Kmqow6 }),
.c({open_n93564,\u_logic/vis_r6_o[29] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({open_n93565,\u_logic/vis_r2_o[29] }),
.mi({open_n93576,\u_logic/P4liu6 }),
.f({open_n93578,\u_logic/Xwqow6 }),
.q({open_n93582,\u_logic/vis_r6_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(18805)
// ../rtl/topmodule/cortexm0ds_logic.v(20102)
// ../rtl/topmodule/cortexm0ds_logic.v(18276)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*(D@A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100101010),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/F2dax6_reg|u_logic/K5hbx6_reg (
.a({\u_logic/_al_u3546_o ,\u_logic/_al_u2485_o }),
.b({\u_logic/_al_u3547_o ,\u_logic/_al_u2533_o }),
.c({\u_logic/_al_u3548_o ,\u_logic/Bccax6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/_al_u3549_o ,\u_logic/Knbbx6 }),
.mi({\u_logic/X44iu6 ,\u_logic/W74iu6 }),
.f({\u_logic/_al_u3550_o ,\u_logic/_al_u3549_o }),
.q({\u_logic/F2dax6 ,\u_logic/K5hbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20102)
// ../rtl/topmodule/cortexm0ds_logic.v(17650)
// ../rtl/topmodule/cortexm0ds_logic.v(18564)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(D*C*A))"),
//.LUTF1("~(B*~(D*C*A))"),
//.LUTG0("~(~B*~(D*C*A))"),
//.LUTG1("~(B*~(D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110011001100),
.INIT_LUTF1(16'b1011001100110011),
.INIT_LUTG0(16'b1110110011001100),
.INIT_LUTG1(16'b1011001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/F4iax6_reg|u_logic/Xdspw6_reg (
.a({\u_logic/A2ciu6_lutinv ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u4408_o ,\u_logic/_al_u4430_o }),
.c({\u_logic/_al_u4395_o ,\u_logic/_al_u4395_o }),
.clk(clk_pad),
.d({HRDATA[5],HRDATA[0]}),
.q({\u_logic/F4iax6 ,\u_logic/Xdspw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17650)
// ../rtl/topmodule/cortexm0ds_logic.v(17477)
// ../rtl/topmodule/cortexm0ds_logic.v(19969)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~(D*~A))"),
//.LUTF1("~(C*B*~(D*~A))"),
//.LUTG0("~(C*B*~(D*~A))"),
//.LUTG1("~(C*B*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111100111111),
.INIT_LUTF1(16'b0111111100111111),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b0111111100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/F6dbx6_reg|u_logic/Usnpw6_reg (
.a({\u_logic/_al_u2361_o ,\u_logic/_al_u2363_o }),
.b({\u_logic/_al_u2596_o ,\u_logic/_al_u2599_o }),
.c({\u_logic/_al_u2597_o ,\u_logic/_al_u2600_o }),
.clk(clk_pad),
.d({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.q({\u_logic/F6dbx6 ,\u_logic/Usnpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17477)
// ../rtl/topmodule/cortexm0ds_logic.v(18879)
// ../rtl/topmodule/cortexm0ds_logic.v(18880)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/F6tax6_reg|u_logic/F4tax6_reg (
.a({\u_logic/_al_u496_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u497_o ,\u_logic/_al_u745_o }),
.c({\u_logic/_al_u498_o ,\u_logic/vis_r14_o[29] }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u499_o ,\u_logic/vis_psp_o[27] }),
.mi({\u_logic/X1liu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/X10iu6 ,\u_logic/_al_u865_o }),
.q({\u_logic/vis_r12_o[27] ,\u_logic/vis_r12_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(18879)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/F8cbx6_reg (
.a({\u_logic/_al_u2513_o ,\u_logic/_al_u2513_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Mzkiu6 ,\u_logic/Mzkiu6 }),
.mi({open_n93668,\u_logic/vis_pc_o[25] }),
.q({open_n93675,\u_logic/F8cbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19946)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(B*~(0*D*C)))"),
//.LUT1("~(A*~(B*~(1*D*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110111011101),
.INIT_LUT1(16'b0101110111011101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/F8dbx6_reg (
.a({\u_logic/_al_u4432_o ,\u_logic/_al_u4432_o }),
.b({\u_logic/Wo1iu6 ,\u_logic/Wo1iu6 }),
.c({\u_logic/N0viu6 ,\u_logic/N0viu6 }),
.clk(clk_pad),
.d({\u_logic/Yw1iu6 ,\u_logic/Yw1iu6 }),
.mi({open_n93687,\u_logic/_al_u4446_o }),
.q({open_n93694,\u_logic/F8dbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19970)
// ../rtl/topmodule/cortexm0ds_logic.v(18162)
// ../rtl/topmodule/cortexm0ds_logic.v(19947)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Facbx6_reg|u_logic/D99ax6_reg (
.a({\u_logic/Iv1iu6 ,\u_logic/Iv1iu6 }),
.b({\u_logic/Xs1iu6 ,\u_logic/Xs1iu6 }),
.c({\u_logic/Dk9bx6 ,\u_logic/vis_pc_o[8] }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[5] ,\u_logic/Xajbx6 }),
.mi({\u_logic/R84iu6 ,\u_logic/D84iu6 }),
.f({\u_logic/_al_u3226_o ,\u_logic/_al_u3175_o }),
.q({\u_logic/Facbx6 ,\u_logic/D99ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18162)
// ../rtl/topmodule/cortexm0ds_logic.v(18872)
// ../rtl/topmodule/cortexm0ds_logic.v(18882)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B)*~(~0*A))"),
//.LUTF1("~(~0*~((D*C*B))*~(A)+~0*(D*C*B)*~(A)+~(~0)*(D*C*B)*A+~0*(D*C*B)*A)"),
//.LUTG0("(~C*~(~D*B)*~(~1*A))"),
//.LUTG1("~(~1*~((D*C*B))*~(A)+~1*(D*C*B)*~(A)+~(~1)*(D*C*B)*A+~1*(D*C*B)*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b0010101010101010),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0111111111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Fatax6_reg|u_logic/Kqsax6_reg (
.a({\u_logic/_al_u673_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/Dc0iu6 ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u679_o ,\u_logic/_al_u3695_o }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Ss9pw6 ,\u_logic/M60iu6 }),
.e({\u_logic/I1lpw6 ,\u_logic/R50iu6 }),
.mi({\u_logic/Uoliu6 ,\u_logic/D39iu6 }),
.f({\u_logic/Go0iu6_lutinv ,\u_logic/_al_u3696_o }),
.q({\u_logic/vis_r12_o[1] ,\u_logic/vis_r12_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18872)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Fjdbx6_reg (
.a({\u_logic/_al_u2497_o ,\u_logic/_al_u2497_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Hzliu6 ,\u_logic/Hzliu6 }),
.mi({open_n93740,\u_logic/vis_pc_o[19] }),
.q({open_n93747,\u_logic/Fjdbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19976)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~((~D*~C))*~(0)+A*~(B)*(~D*~C)*~(0)+~(A)*~(B)*~((~D*~C))*0+A*~(B)*~((~D*~C))*0+~(A)*B*~((~D*~C))*0+~(A)*~(B)*(~D*~C)*0+A*~(B)*(~D*~C)*0+~(A)*B*(~D*~C)*0+A*B*(~D*~C)*0)"),
//.LUT1("(A*~(B)*~((~D*~C))*~(1)+A*~(B)*(~D*~C)*~(1)+~(A)*~(B)*~((~D*~C))*1+A*~(B)*~((~D*~C))*1+~(A)*B*~((~D*~C))*1+~(A)*~(B)*(~D*~C)*1+A*~(B)*(~D*~C)*1+~(A)*B*(~D*~C)*1+A*B*(~D*~C)*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010001000100010),
.INIT_LUT1(16'b0111011101111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Fkrpw6_reg (
.a({\u_logic/_al_u1978_o ,\u_logic/_al_u1978_o }),
.b({\u_logic/_al_u1991_o ,\u_logic/_al_u1991_o }),
.c({\u_logic/Dk7ow6 ,\u_logic/Dk7ow6 }),
.clk(clk_pad),
.d({\u_logic/_al_u718_o ,\u_logic/_al_u718_o }),
.mi({open_n93759,\u_logic/Fkrpw6 }),
.q({open_n93766,\u_logic/Fkrpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17625)
// ../rtl/topmodule/cortexm0ds_logic.v(20246)
// ../rtl/topmodule/cortexm0ds_logic.v(17997)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(B*~(D*C)))"),
//.LUT1("~(D*~(C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101110111011101),
.INIT_LUT1(16'b0011000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Fl2qw6_reg|u_logic/Nckbx6_reg (
.a({open_n93767,\u_logic/_al_u3193_o }),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/Wo1iu6 }),
.c({\u_logic/Fl2qw6 ,\u_logic/_al_u3204_o }),
.clk(clk_pad),
.d({\u_logic/_al_u3068_o ,\u_logic/Pxriu6 }),
.q({\u_logic/Fl2qw6 ,\u_logic/Nckbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20246)
// ../rtl/topmodule/cortexm0ds_logic.v(18006)
// ../rtl/topmodule/cortexm0ds_logic.v(18101)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(D*C*B))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101010101010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Fm7ax6_reg|u_logic/Bt2qw6_reg (
.a({open_n93787,\u_logic/Wo1iu6 }),
.b({open_n93788,\u_logic/Yw1iu6 }),
.c({\u_logic/Ud4iu6 ,\u_logic/Rw1iu6 }),
.ce(\u_logic/Tu4iu6 ),
.clk(clk_pad),
.d({\u_logic/Scbiu6 ,\u_logic/_al_u2999_o }),
.mi({\u_logic/Ud4iu6 ,\u_logic/Df4iu6 }),
.f({\u_logic/_al_u3479_o ,\u_logic/_al_u3000_o }),
.q({\u_logic/Fm7ax6 ,\u_logic/Bt2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18006)
// ../rtl/topmodule/cortexm0ds_logic.v(20183)
// ../rtl/topmodule/cortexm0ds_logic.v(18170)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C@B)*~(D@A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C@B)*~(D@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b1000001001000001),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b1000001001000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Fo9ax6_reg|u_logic/N3jbx6_reg (
.a({\u_logic/Sjkhu6 ,\u_logic/_al_u3226_o }),
.b({\u_logic/Lclhu6 ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/G79ax6 ,\u_logic/Zt1iu6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Pv9ax6 ,\u_logic/Pe9bx6 }),
.e({open_n93807,\u_logic/Tc9bx6 }),
.mi({\u_logic/Pl4iu6 ,\u_logic/Ym4iu6 }),
.f({\u_logic/_al_u3319_o ,\u_logic/_al_u3227_o }),
.q({\u_logic/Fo9ax6 ,\u_logic/N3jbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20183)
EG_PHY_MSLICE #(
//.LUT0("(~(~0*~D)*~(~C*B*A))"),
//.LUT1("(~(~1*~D)*~(~C*B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011100000000),
.INIT_LUT1(16'b1111011111110111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Fpnpw6_reg (
.a({\u_logic/_al_u2116_o ,\u_logic/_al_u2116_o }),
.b({\u_logic/_al_u2118_o ,\u_logic/_al_u2118_o }),
.c({\u_logic/_al_u2120_o ,\u_logic/_al_u2120_o }),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.mi({open_n93835,\u_logic/Fpnpw6 }),
.q({open_n93842,\u_logic/Fpnpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17470)
// ../rtl/topmodule/cortexm0ds_logic.v(20184)
// ../rtl/topmodule/cortexm0ds_logic.v(19963)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("~(D@(~C*B*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("~(D@(~C*B*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000100011110111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000100011110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Fvcbx6_reg|u_logic/J5jbx6_reg (
.a({\u_logic/Oz0iu6_lutinv ,\u_logic/_al_u4265_o }),
.b({\u_logic/Ntkbx6 [3],\u_logic/Dw1iu6 }),
.c({\u_logic/_al_u3386_o ,\u_logic/Zt1iu6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Bvaax6 ,\u_logic/Aoeax6 }),
.e({open_n93843,\u_logic/Kcaax6 }),
.mi({\u_logic/Y84iu6 ,\u_logic/Ym4iu6 }),
.f({\u_logic/_al_u3387_o ,\u_logic/_al_u4266_o }),
.q({\u_logic/Fvcbx6 ,\u_logic/J5jbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20184)
// ../rtl/topmodule/cortexm0ds_logic.v(18875)
// ../rtl/topmodule/cortexm0ds_logic.v(18877)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/G0tax6_reg|u_logic/Iwsax6_reg (
.a({\u_logic/_al_u1173_o ,\u_logic/Nwzhu6 }),
.b({\u_logic/_al_u1176_o ,\u_logic/_al_u1172_o }),
.c({\u_logic/Fgqow6 ,\u_logic/Ahqow6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[2] ,\u_logic/vis_r14_o[4] }),
.mi({\u_logic/Drkiu6 ,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u1177_o ,\u_logic/_al_u1173_o }),
.q({\u_logic/vis_r12_o[7] ,\u_logic/vis_r12_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(18875)
// ../rtl/topmodule/cortexm0ds_logic.v(20072)
// ../rtl/topmodule/cortexm0ds_logic.v(19686)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~A*~(0*B))"),
//.LUTF1("(~D*B*A*~(0*C))"),
//.LUTG0("(~D*~C*~A*~(1*B))"),
//.LUTG1("(~D*B*A*~(1*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000101),
.INIT_LUTF1(16'b0000000010001000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/G25bx6_reg|u_logic/Pjgbx6_reg (
.a({\u_logic/_al_u2234_o ,\u_logic/_al_u2242_o }),
.b({\u_logic/H3bpw6 ,\u_logic/_al_u2106_o }),
.c({\u_logic/G9fiu6_lutinv ,\u_logic/_al_u2243_o }),
.ce(\u_logic/n1114 ),
.clk(clk_pad),
.d({\u_logic/_al_u2236_o ,\u_logic/_al_u2244_o }),
.e({\u_logic/Auyax6 ,\u_logic/Oa5bx6 }),
.mi({HWDATA[14],HWDATA[22]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2237_o ,\u_logic/_al_u2245_o }),
.q({\u_logic/G25bx6 ,\u_logic/Pjgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20072)
// ../rtl/topmodule/cortexm0ds_logic.v(19584)
// ../rtl/topmodule/cortexm0ds_logic.v(19590)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~A*~(0*D))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~C*~B*~A*~(1*D))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100000001),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/G54bx6_reg|u_logic/E34bx6_reg (
.a({\u_logic/_al_u2990_o ,\u_logic/_al_u2188_o }),
.b({\u_logic/_al_u2991_o ,\u_logic/_al_u2190_o }),
.c({\u_logic/Tzdiu6 ,\u_logic/_al_u2191_o }),
.ce(\u_logic/n1111 ),
.clk(clk_pad),
.d({\u_logic/Tgzax6 ,\u_logic/Qrgiu6 }),
.e({open_n93889,\u_logic/Tl4bx6 }),
.mi(HWDATA[31:30]),
.sr(cpuresetn),
.f({\u_logic/Rw1iu6 ,\u_logic/_al_u2193_o }),
.q({\u_logic/G54bx6 ,\u_logic/E34bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19584)
// ../rtl/topmodule/cortexm0ds_logic.v(18174)
// ../rtl/topmodule/cortexm0ds_logic.v(18161)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/G79ax6_reg|u_logic/Pv9ax6_reg (
.a({\u_logic/_al_u3184_o ,\u_logic/Dw1iu6 }),
.b({\u_logic/_al_u3186_o ,\u_logic/Ar1iu6 }),
.c({\u_logic/W4siu6 ,\u_logic/T5yax6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/_al_u3189_o ,\u_logic/U4fax6 }),
.mi({\u_logic/K84iu6 ,\u_logic/Df4iu6 }),
.f({\u_logic/_al_u3190_o ,\u_logic/_al_u3255_o }),
.q({\u_logic/G79ax6 ,\u_logic/Pv9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18174)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(B*~(0*D*C)))"),
//.LUT1("~(A*~(B*~(1*D*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110111011101),
.INIT_LUT1(16'b0101110111011101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ggabx6_reg (
.a({\u_logic/_al_u4331_o ,\u_logic/_al_u4331_o }),
.b({\u_logic/Wo1iu6 ,\u_logic/Wo1iu6 }),
.c({\u_logic/U2tiu6 ,\u_logic/U2tiu6 }),
.clk(clk_pad),
.d({\u_logic/Yw1iu6 ,\u_logic/Yw1iu6 }),
.mi({open_n93934,\u_logic/_al_u4354_o }),
.q({open_n93941,\u_logic/Ggabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19888)
// ../rtl/topmodule/cortexm0ds_logic.v(20147)
// ../rtl/topmodule/cortexm0ds_logic.v(20115)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Gihbx6_reg|u_logic/Owhbx6_reg (
.a({open_n93942,HWDATA[3]}),
.b({\u_logic/_al_u1066_o ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Odfiu6_lutinv ,\u_logic/Owhbx6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1907_o ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/H4phu6 ,open_n93960}),
.q({\u_logic/Gihbx6 ,\u_logic/Owhbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20147)
// ../rtl/topmodule/cortexm0ds_logic.v(17385)
// ../rtl/topmodule/cortexm0ds_logic.v(17382)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Golpw6_reg|u_logic/Zslpw6_reg (
.c({\u_logic/Golpw6 ,\u_logic/Pmlpw6 }),
.ce(\u_logic/U03iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u622_o ,\u_logic/Ahlpw6 }),
.mi({\u_logic/Rilpw6 ,\u_logic/Krlpw6 }),
.f({\u_logic/Tezhu6 ,\u_logic/_al_u657_o }),
.q({\u_logic/Golpw6 ,\u_logic/Zslpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17385)
// ../rtl/topmodule/cortexm0ds_logic.v(17576)
// ../rtl/topmodule/cortexm0ds_logic.v(17575)
EG_PHY_LSLICE #(
//.LUTF0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("(C*~A*~(D*B))"),
//.LUTG0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("(C*~A*~(D*B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010111000111111),
.INIT_LUTF1(16'b0001000001010000),
.INIT_LUTG0(16'b0010111000111111),
.INIT_LUTG1(16'b0001000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Gpqpw6_reg|u_logic/Nrqpw6_reg (
.a({\u_logic/_al_u985_o ,\u_logic/_al_u633_o }),
.b({\u_logic/Vk1iu6 ,\u_logic/_al_u657_o }),
.c({\u_logic/Lk3iu6 ,\u_logic/D2opw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/L9bbx6 ,\u_logic/Z73qw6 }),
.mi({\u_logic/Vplpw6 ,\u_logic/Golpw6 }),
.f({\u_logic/_al_u1122_o ,\u_logic/Ed3iu6 }),
.q({\u_logic/Gpqpw6 ,\u_logic/Nrqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17576)
// ../rtl/topmodule/cortexm0ds_logic.v(18121)
// ../rtl/topmodule/cortexm0ds_logic.v(19763)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*D))"),
//.LUT1("~(B*~(C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001100110011),
.INIT_LUT1(16'b1111001100110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Gw6bx6_reg|u_logic/Xf8ax6_reg (
.b({\u_logic/_al_u1045_o ,\u_logic/_al_u992_o }),
.c({\u_logic/Bu6bx6 ,\u_logic/Sd8ax6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.q({\u_logic/Gw6bx6 ,\u_logic/Xf8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18121)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Gwxpw6_reg (
.a({\u_logic/_al_u2525_o ,\u_logic/_al_u2525_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Ivmiu6 ,\u_logic/Ivmiu6 }),
.mi({open_n94036,\u_logic/vis_pc_o[9] }),
.q({open_n94043,\u_logic/Gwxpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17855)
EG_PHY_MSLICE #(
//.LUT0("~(~C*B*~A*~(0*D))"),
//.LUT1("~(~C*B*~A*~(1*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111101111111011),
.INIT_LUT1(16'b1111111111111011),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/H3lpw6_reg (
.a({\u_logic/_al_u3502_o ,\u_logic/_al_u3502_o }),
.b({\u_logic/_al_u3503_o ,\u_logic/_al_u3503_o }),
.c({\u_logic/_al_u2974_o ,\u_logic/_al_u2974_o }),
.clk(clk_pad),
.d({\u_logic/Qwpiu6_lutinv ,\u_logic/Qwpiu6_lutinv }),
.mi({open_n94055,\u_logic/Ksgax6 }),
.q({open_n94062,\u_logic/H3lpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17325)
// ../rtl/topmodule/cortexm0ds_logic.v(18224)
// ../rtl/topmodule/cortexm0ds_logic.v(18223)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUT1("(~B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011110011),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hdbax6_reg|u_logic/Dfbax6_reg (
.a({\u_logic/_al_u3138_o ,open_n94063}),
.b({\u_logic/_al_u2979_o ,\u_logic/_al_u3288_o }),
.c({\u_logic/Iv1iu6 ,\u_logic/vis_pc_o[1] }),
.ce(\u_logic/n529 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[18] ,\u_logic/_al_u2759_o }),
.mi({\u_logic/O34iu6 ,\u_logic/Ud4iu6 }),
.f({\u_logic/_al_u3139_o ,\u_logic/G91iu6_lutinv }),
.q({\u_logic/Hdbax6 ,\u_logic/Dfbax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18224)
// ../rtl/topmodule/cortexm0ds_logic.v(19988)
// ../rtl/topmodule/cortexm0ds_logic.v(18184)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Heaax6_reg|u_logic/J6ebx6_reg (
.b({open_n94080,\u_logic/n6149_lutinv }),
.c({\u_logic/T7bax6 ,\u_logic/_al_u3288_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Nvkbx6 [7],\u_logic/Nvkbx6 [3]}),
.mi({\u_logic/S54iu6 ,\u_logic/I74iu6 }),
.f({\u_logic/Nvkbx6 [3],\u_logic/_al_u3321_o }),
.q({\u_logic/Heaax6 ,\u_logic/J6ebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19988)
// ../rtl/topmodule/cortexm0ds_logic.v(17196)
// ../rtl/topmodule/cortexm0ds_logic.v(19518)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Hg3bx6_reg|u_logic/Tcipw6_reg (
.b({\u_logic/_al_u1959_o ,\u_logic/_al_u1959_o }),
.c({\u_logic/_al_u1067_o ,\u_logic/A9row6_lutinv }),
.clk(clk_pad),
.d({\u_logic/_al_u1963_o ,\u_logic/_al_u1961_o }),
.sr(cpuresetn),
.f({\u_logic/Cyohu6 ,\u_logic/Jyohu6 }),
.q({\u_logic/Hg3bx6 ,\u_logic/Tcipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17196)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(D*~C)*~(0*B))"),
//.LUT1("~(~A*~(D*~C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010111110101010),
.INIT_LUT1(16'b1110111111101110),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hg7ax6_reg (
.a({\u_logic/_al_u3286_o ,\u_logic/_al_u3286_o }),
.b({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.c({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Hg7ax6 ,\u_logic/Hg7ax6 }),
.mi({open_n94128,\u_logic/Xn7ax6 }),
.q({open_n94135,\u_logic/Hg7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18098)
EG_PHY_MSLICE #(
//.LUT0("(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*~(D)*~(0)+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*~(0)+~(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))*D*0+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*0)"),
//.LUT1("(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*~(D)*~(1)+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*~(1)+~(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))*D*1+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011010100110101),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hhvpw6_reg (
.a({\u_logic/_al_u1170_o ,\u_logic/_al_u1170_o }),
.b({\u_logic/_al_u1322_o ,\u_logic/_al_u1322_o }),
.c({\u_logic/_al_u1307_o ,\u_logic/_al_u1307_o }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/U64iu6 ,\u_logic/U64iu6 }),
.mi({open_n94146,\u_logic/Wvgax6 }),
.fx({open_n94151,HWDATA[19]}),
.q({open_n94152,\u_logic/Hhvpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17776)
EG_PHY_MSLICE #(
//.LUT0("(~(~0*~D)*~(C*~B*~A))"),
//.LUT1("(~(~1*~D)*~(C*~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111100000000),
.INIT_LUT1(16'b1110111111101111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Hirpw6_reg (
.a({\u_logic/_al_u2446_o ,\u_logic/_al_u2446_o }),
.b({\u_logic/_al_u2448_o ,\u_logic/_al_u2448_o }),
.c({\u_logic/_al_u2457_o ,\u_logic/_al_u2457_o }),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.mi({open_n94164,\u_logic/Hirpw6 }),
.sr(cpuresetn),
.q({open_n94170,\u_logic/Hirpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17620)
// ../rtl/topmodule/cortexm0ds_logic.v(17703)
// ../rtl/topmodule/cortexm0ds_logic.v(17849)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hkxpw6_reg|u_logic/Y7upw6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/U9now6_lutinv ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r0_o[13] ,\u_logic/vis_r4_o[15] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[13] ,\u_logic/vis_r6_o[15] }),
.mi({\u_logic/Hymiu6 ,\u_logic/Bamiu6 }),
.f({\u_logic/_al_u210_o ,\u_logic/_al_u219_o }),
.q({\u_logic/vis_r0_o[10] ,\u_logic/vis_r0_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(17703)
// ../rtl/topmodule/cortexm0ds_logic.v(19971)
// ../rtl/topmodule/cortexm0ds_logic.v(17816)
EG_PHY_MSLICE #(
//.LUT0("~(C*~A*~(D*B))"),
//.LUT1("~(C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111110101111),
.INIT_LUT1(16'b1110111110101111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hlwpw6_reg|u_logic/Kadbx6_reg (
.a({\u_logic/_al_u985_o ,\u_logic/_al_u985_o }),
.b({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.c({\u_logic/Gm2iu6 ,\u_logic/Xd2iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Cjwpw6 ,\u_logic/F8dbx6 }),
.q({\u_logic/Hlwpw6 ,\u_logic/Kadbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19971)
// ../rtl/topmodule/cortexm0ds_logic.v(17550)
// ../rtl/topmodule/cortexm0ds_logic.v(17850)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hmxpw6_reg|u_logic/I5qpw6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r1_o[13] ,\u_logic/vis_r3_o[13] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[13] ,\u_logic/vis_r7_o[13] }),
.mi({\u_logic/Hymiu6 ,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u209_o ,\u_logic/J69pw6 }),
.q({\u_logic/vis_r1_o[10] ,\u_logic/vis_r1_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(17550)
// ../rtl/topmodule/cortexm0ds_logic.v(19815)
// ../rtl/topmodule/cortexm0ds_logic.v(18247)
EG_PHY_LSLICE #(
//.LUTF0("~((~B*~(~0*~C))*~(D)*~(A)+(~B*~(~0*~C))*D*~(A)+~((~B*~(~0*~C)))*D*A+(~B*~(~0*~C))*D*A)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("~((~B*~(~1*~C))*~(D)*~(A)+(~B*~(~1*~C))*D*~(A)+~((~B*~(~1*~C)))*D*A+(~B*~(~1*~C))*D*A)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010111101111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0100010011101110),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Htbax6_reg|u_logic/Lg9bx6_reg (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/n5754 }),
.b({\u_logic/Xs1iu6 ,\u_logic/_al_u2713_o }),
.c({\u_logic/G79ax6 ,\u_logic/_al_u2293_o }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Tkdax6 ,\u_logic/Qc3pw6_lutinv }),
.e({open_n94226,\u_logic/_al_u1150_o }),
.mi({\u_logic/K84iu6 ,\u_logic/Xi4iu6 }),
.f({\u_logic/_al_u3059_o ,HSIZE[1]}),
.q({\u_logic/Htbax6 ,\u_logic/Lg9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19815)
// ../rtl/topmodule/cortexm0ds_logic.v(17298)
// ../rtl/topmodule/cortexm0ds_logic.v(17854)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Huxpw6_reg|u_logic/Rfkpw6_reg (
.a({\u_logic/_al_u742_o ,\u_logic/_al_u1270_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Ljqow6 }),
.c({\u_logic/vis_r9_o[12] ,\u_logic/Qiqow6 }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[10] ,\u_logic/vis_r11_o[12] }),
.e({open_n94243,\u_logic/vis_r9_o[12] }),
.mi({\u_logic/Hymiu6 ,\u_logic/Fyliu6 }),
.f({\u_logic/_al_u743_o ,\u_logic/_al_u1271_o }),
.q({\u_logic/vis_psp_o[8] ,\u_logic/vis_psp_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(17298)
// ../rtl/topmodule/cortexm0ds_logic.v(17831)
// ../rtl/topmodule/cortexm0ds_logic.v(17548)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/I1qpw6_reg|u_logic/P4xpw6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/Eqqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r6_o[18] ,\u_logic/vis_r7_o[19] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[18] ,\u_logic/vis_r4_o[19] }),
.mi({\u_logic/Fyliu6 ,\u_logic/C7miu6 }),
.f({\u_logic/_al_u517_o ,\u_logic/_al_u245_o }),
.q({\u_logic/vis_r1_o[21] ,\u_logic/vis_r1_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(17831)
// ../rtl/topmodule/cortexm0ds_logic.v(17667)
// ../rtl/topmodule/cortexm0ds_logic.v(18953)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(~D*~(B*~A)))"),
//.LUT1("~(C*~(~D*~(B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111110111111),
.INIT_LUT1(16'b0000111110111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/I5xax6_reg|u_logic/Yzspw6_reg (
.a({\u_logic/_al_u4007_o ,\u_logic/_al_u4007_o }),
.b({\u_logic/_al_u4014_o ,\u_logic/_al_u4014_o }),
.c({\u_logic/_al_u4021_o ,\u_logic/_al_u4023_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2968_o ,\u_logic/_al_u2968_o }),
.sr(cpuresetn),
.q({\u_logic/I5xax6 ,\u_logic/Yzspw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17667)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(0*~D)*~(C*~A))"),
//.LUT1("~(B*~(1*~D)*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101110011),
.INIT_LUT1(16'b0111001111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/I8hax6_reg (
.a({\u_logic/_al_u4551_o ,\u_logic/_al_u4551_o }),
.b({\u_logic/_al_u4682_o ,\u_logic/_al_u4682_o }),
.c({\u_logic/Zgziu6_lutinv ,\u_logic/Zgziu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/_al_u2647_o ,\u_logic/_al_u2647_o }),
.mi({open_n94307,\u_logic/vis_pc_o[20] }),
.sr(cpuresetn),
.q({open_n94313,\u_logic/vis_pc_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(18468)
// ../rtl/topmodule/cortexm0ds_logic.v(17201)
// ../rtl/topmodule/cortexm0ds_logic.v(17552)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/I9qpw6_reg|u_logic/Weipw6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/Voqow6 ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r2_o[30] ,\u_logic/vis_r7_o[6] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[30] ,\u_logic/vis_r5_o[6] }),
.mi({\u_logic/Gfniu6 ,\u_logic/K39iu6 }),
.f({\u_logic/_al_u593_o ,\u_logic/_al_u321_o }),
.q({\u_logic/vis_r1_o[28] ,\u_logic/vis_r1_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(17201)
// ../rtl/topmodule/cortexm0ds_logic.v(19982)
// ../rtl/topmodule/cortexm0ds_logic.v(18282)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(~D*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0011111100101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Iddax6_reg|u_logic/Qudbx6_reg (
.a({\u_logic/_al_u2509_o ,\u_logic/Dw1iu6 }),
.b({\u_logic/_al_u2489_o ,\u_logic/Jf7iu6 }),
.c({\u_logic/Kqdax6 ,\u_logic/Q6fax6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/N3hbx6 ,\u_logic/Tikbx6 }),
.mi({\u_logic/Df4iu6 ,\u_logic/B74iu6 }),
.f({\u_logic/_al_u3599_o ,\u_logic/_al_u3488_o }),
.q({\u_logic/Iddax6 ,\u_logic/Qudbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19982)
// ../rtl/topmodule/cortexm0ds_logic.v(17478)
// ../rtl/topmodule/cortexm0ds_logic.v(17554)
EG_PHY_MSLICE #(
//.LUT0("~(~B*A*~(D*C))"),
//.LUT1("~(D*~(~C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110111011101),
.INIT_LUT1(16'b0000110011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Idqpw6_reg|u_logic/Uunpw6_reg (
.a({open_n94342,\u_logic/_al_u2976_o }),
.b({\u_logic/Wo1iu6 ,\u_logic/_al_u3000_o }),
.c({\u_logic/_al_u3038_o ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u3030_o ,\u_logic/Ydgax6 }),
.q({\u_logic/Idqpw6 ,\u_logic/Uunpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17478)
// ../rtl/topmodule/cortexm0ds_logic.v(17292)
// ../rtl/topmodule/cortexm0ds_logic.v(18598)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C*~D))"),
//.LUTF1("~(B*~(C*~D))"),
//.LUTG0("~(B*~(C*~D))"),
//.LUTG1("~(B*~(C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001111110011),
.INIT_LUTF1(16'b0011001111110011),
.INIT_LUTG0(16'b0011001111110011),
.INIT_LUTG1(16'b0011001111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Ijiax6_reg|u_logic/Vbkpw6_reg (
.b({\u_logic/_al_u4730_o ,\u_logic/_al_u4732_o }),
.c({\u_logic/_al_u4724_o ,\u_logic/_al_u4724_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4639_o ,\u_logic/_al_u4645_o }),
.sr(cpuresetn),
.q({\u_logic/vis_ipsr_o[4] ,\u_logic/vis_ipsr_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17292)
// ../rtl/topmodule/cortexm0ds_logic.v(18792)
// ../rtl/topmodule/cortexm0ds_logic.v(18799)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ipoax6_reg|u_logic/Mboax6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/X7now6_lutinv }),
.b({\u_logic/Voqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r6_o[0] ,\u_logic/vis_r4_o[0] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[0] ,\u_logic/vis_r5_o[0] }),
.mi({\u_logic/Rkkiu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/Q53pw6 ,\u_logic/Rv9pw6 }),
.q({\u_logic/vis_r6_o[5] ,\u_logic/vis_r6_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(18792)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(D*C)*~(0*B))"),
//.LUT1("~(A*~(D*C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111010101010101),
.INIT_LUT1(16'b1111110111011101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Irmpw6_reg (
.a({\u_logic/_al_u2872_o ,\u_logic/_al_u2872_o }),
.b({\u_logic/Pp7iu6 ,\u_logic/Pp7iu6 }),
.c({\u_logic/Kq7iu6 ,\u_logic/Kq7iu6 }),
.clk(clk_pad),
.d({HRDATA[18],HRDATA[18]}),
.mi({open_n94411,HRDATA[2]}),
.sr(cpuresetn),
.q({open_n94417,\u_logic/Irmpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17439)
// ../rtl/topmodule/cortexm0ds_logic.v(17549)
// ../rtl/topmodule/cortexm0ds_logic.v(17546)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ixppw6_reg|u_logic/I3qpw6_reg (
.a({\u_logic/_al_u526_o ,\u_logic/Mnqow6 }),
.b({\u_logic/_al_u527_o ,\u_logic/Fnqow6 }),
.c({\u_logic/_al_u528_o ,\u_logic/vis_r4_o[26] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/Swyow6 ,\u_logic/vis_r5_o[26] }),
.mi({\u_logic/X1liu6 ,\u_logic/E1miu6 }),
.f({\u_logic/H00iu6 ,\u_logic/Zrwow6 }),
.q({\u_logic/vis_r1_o[27] ,\u_logic/vis_r1_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(17549)
// ../rtl/topmodule/cortexm0ds_logic.v(17551)
// ../rtl/topmodule/cortexm0ds_logic.v(17547)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Izppw6_reg|u_logic/I7qpw6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/N9now6_lutinv ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r6_o[29] ,\u_logic/vis_r7_o[12] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[29] ,\u_logic/vis_r2_o[12] }),
.mi({\u_logic/P4liu6 ,\u_logic/Bomiu6 }),
.f({\u_logic/_al_u312_o ,\u_logic/_al_u463_o }),
.q({\u_logic/vis_r1_o[29] ,\u_logic/vis_r1_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(17551)
// ../rtl/topmodule/cortexm0ds_logic.v(18540)
// ../rtl/topmodule/cortexm0ds_logic.v(19738)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*~D))"),
//.LUT1("~(B*~(C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001111110011),
.INIT_LUT1(16'b0011001111110011),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/J06bx6_reg|u_logic/Vuhax6_reg (
.b({\u_logic/_al_u4768_o ,\u_logic/_al_u4749_o }),
.c({\u_logic/Zgziu6_lutinv ,\u_logic/Zgziu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/_al_u4593_o ,\u_logic/_al_u4639_o }),
.sr(cpuresetn),
.q({\u_logic/vis_pc_o[8] ,\u_logic/vis_pc_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(18540)
// ../rtl/topmodule/cortexm0ds_logic.v(18950)
// ../rtl/topmodule/cortexm0ds_logic.v(18951)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/J3xax6_reg|u_logic/K1xax6_reg (
.a({\u_logic/Mnqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Xpqow6 ,\u_logic/Dmqow6 }),
.c({\u_logic/vis_r3_o[5] ,\u_logic/vis_r2_o[0] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[5] ,\u_logic/vis_r0_o[0] }),
.mi({\u_logic/Ef8iu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u469_o ,\u_logic/F33pw6 }),
.q({\u_logic/vis_r3_o[4] ,\u_logic/vis_r3_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(18950)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*B)*~(0*A))"),
//.LUT1("~(C*~(D*B)*~(1*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100111100001111),
.INIT_LUT1(16'b1110111110101111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/J4cbx6_reg (
.a({\u_logic/Cl1iu6 ,\u_logic/Cl1iu6 }),
.b({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.c({\u_logic/P92iu6 ,\u_logic/P92iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Cncbx6 ,\u_logic/Cncbx6 }),
.mi({open_n94499,\u_logic/Hpcbx6 }),
.q({open_n94506,\u_logic/J4cbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19944)
// ../rtl/topmodule/cortexm0ds_logic.v(19817)
// ../rtl/topmodule/cortexm0ds_logic.v(18302)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("((D@B)*(C@A))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("((D@B)*(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0001001001001000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001001001001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/J5eax6_reg|u_logic/Dk9bx6_reg (
.a({\u_logic/_al_u2521_o ,\u_logic/_al_u3173_o }),
.b({\u_logic/_al_u2549_o ,\u_logic/_al_u3174_o }),
.c({\u_logic/Y5dax6 ,\u_logic/_al_u3175_o }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Zl9bx6 ,\u_logic/Ar1iu6 }),
.e({open_n94507,\u_logic/Kn1qw6 }),
.mi({\u_logic/Oh4iu6 ,\u_logic/Xi4iu6 }),
.f({\u_logic/_al_u3638_o ,\u_logic/_al_u3176_o }),
.q({\u_logic/J5eax6 ,\u_logic/Dk9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19817)
// ../rtl/topmodule/cortexm0ds_logic.v(18254)
// ../rtl/topmodule/cortexm0ds_logic.v(18255)
EG_PHY_LSLICE #(
//.LUTF0("((C@B)*(D@A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("((C@B)*(D@A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010000101000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010000101000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/J8cax6_reg|u_logic/M6cax6_reg (
.a({\u_logic/_al_u3109_o ,\u_logic/_al_u2537_o }),
.b({\u_logic/_al_u3113_o ,\u_logic/_al_u2533_o }),
.c({\u_logic/_al_u3114_o ,\u_logic/Gzeax6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/_al_u3115_o ,\u_logic/Ureax6 }),
.mi({\u_logic/J44iu6 ,\u_logic/X44iu6 }),
.f({\u_logic/_al_u3116_o ,\u_logic/_al_u3567_o }),
.q({\u_logic/J8cax6 ,\u_logic/M6cax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18254)
// ../rtl/topmodule/cortexm0ds_logic.v(17843)
// ../rtl/topmodule/cortexm0ds_logic.v(18696)
EG_PHY_LSLICE #(
//.LUTF0("~(B*A*~(D*C))"),
//.LUTF1("~(B*A*~(D*C))"),
//.LUTG0("~(B*A*~(D*C))"),
//.LUTG1("~(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011101110111),
.INIT_LUTF1(16'b1111011101110111),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b1111011101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Jckax6_reg|u_logic/Jgxpw6_reg (
.a({\u_logic/_al_u2880_o ,\u_logic/_al_u2877_o }),
.b({\u_logic/U7hiu6 ,\u_logic/Y9hiu6 }),
.c({\u_logic/Uy4iu6 ,\u_logic/Uy4iu6 }),
.clk(clk_pad),
.d({\u_logic/Kakax6 ,\u_logic/Tokax6 }),
.sr(cpuresetn),
.q({\u_logic/Jckax6 ,\u_logic/Jgxpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17843)
// ../rtl/topmodule/cortexm0ds_logic.v(18409)
// ../rtl/topmodule/cortexm0ds_logic.v(18314)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jieax6_reg|u_logic/Elgax6_reg (
.a({\u_logic/Zt1iu6 ,\u_logic/_al_u3164_o }),
.b({\u_logic/Vr1iu6 ,\u_logic/Yc7iu6 }),
.c({\u_logic/Apcax6 ,\u_logic/Zt1iu6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/W4aax6 ,\u_logic/Hdfax6 }),
.e({open_n94564,\u_logic/Yjaax6 }),
.mi({\u_logic/D84iu6 ,\u_logic/F94iu6 }),
.f({\u_logic/_al_u3062_o ,\u_logic/_al_u3165_o }),
.q({\u_logic/Jieax6 ,\u_logic/Elgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18409)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jjvpw6_reg (
.a({open_n94581,\u_logic/Dmqow6 }),
.b({open_n94582,\u_logic/Mnqow6 }),
.c({open_n94583,\u_logic/vis_r2_o[18] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({open_n94584,\u_logic/vis_r4_o[18] }),
.mi({open_n94588,\u_logic/D4miu6 }),
.f({open_n94601,\u_logic/_al_u516_o }),
.q({open_n94605,\u_logic/vis_r0_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(17777)
// ../rtl/topmodule/cortexm0ds_logic.v(19968)
// ../rtl/topmodule/cortexm0ds_logic.v(18042)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110011),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jl3qw6_reg|u_logic/Q4dbx6_reg (
.a({\u_logic/_al_u3487_o ,open_n94606}),
.b({\u_logic/_al_u3488_o ,\u_logic/_al_u2363_o }),
.c({\u_logic/Xs1iu6 ,\u_logic/Ydgax6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Xaeax6 ,\u_logic/n5754 }),
.mi({\u_logic/N64iu6 ,\u_logic/Y84iu6 }),
.f({\u_logic/_al_u3489_o ,HADDR[31]}),
.q({\u_logic/Jl3qw6 ,\u_logic/Q4dbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19968)
// ../rtl/topmodule/cortexm0ds_logic.v(18793)
// ../rtl/topmodule/cortexm0ds_logic.v(18798)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jnoax6_reg|u_logic/Ldoax6_reg (
.a({\u_logic/Voqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Fnqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r1_o[5] ,\u_logic/vis_r0_o[5] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[5] ,\u_logic/vis_r7_o[5] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u468_o ,\u_logic/_al_u466_o }),
.q({\u_logic/vis_r6_o[3] ,\u_logic/vis_r6_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18793)
// ../rtl/topmodule/cortexm0ds_logic.v(20209)
// ../rtl/topmodule/cortexm0ds_logic.v(20127)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("~(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b1100000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Johbx6_reg|u_logic/Uojbx6_reg (
.b({\u_logic/Q8eiu6_lutinv ,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Imhbx6 ,\u_logic/Tmjbx6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1559_o ,\u_logic/_al_u1549_o }),
.q({\u_logic/Johbx6 ,\u_logic/Uojbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20209)
// ../rtl/topmodule/cortexm0ds_logic.v(17929)
// ../rtl/topmodule/cortexm0ds_logic.v(17945)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jp1qw6_reg|u_logic/Tu0qw6_reg (
.a({\u_logic/_al_u303_o ,\u_logic/_al_u315_o }),
.b({\u_logic/F15pw6 ,\u_logic/_al_u316_o }),
.c({\u_logic/_al_u305_o ,\u_logic/_al_u317_o }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u306_o ,\u_logic/Gk4pw6 }),
.mi({\u_logic/K3niu6 ,\u_logic/X6niu6 }),
.f({\u_logic/_al_u307_o ,\u_logic/Y50iu6 }),
.q({\u_logic/vis_r0_o[9] ,\u_logic/vis_r0_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(17929)
// ../rtl/topmodule/cortexm0ds_logic.v(17208)
// ../rtl/topmodule/cortexm0ds_logic.v(17437)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(D*C*A))"),
//.LUT1("~(~B*~(D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110110011001100),
.INIT_LUT1(16'b1110110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jpmpw6_reg|u_logic/Xiipw6_reg (
.a({\u_logic/A2ciu6_lutinv ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u4398_o ,\u_logic/_al_u4401_o }),
.c({\u_logic/_al_u4395_o ,\u_logic/_al_u4395_o }),
.clk(clk_pad),
.d({HRDATA[2],HRDATA[3]}),
.q({\u_logic/Jpmpw6 ,\u_logic/Xiipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17208)
// ../rtl/topmodule/cortexm0ds_logic.v(17834)
// ../rtl/topmodule/cortexm0ds_logic.v(17781)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jrvpw6_reg|u_logic/Paxpw6_reg (
.a({\u_logic/Xpqow6 ,\u_logic/C7now6_lutinv }),
.b({\u_logic/Voqow6 ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r3_o[18] ,\u_logic/vis_r6_o[19] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[18] ,\u_logic/vis_r5_o[19] }),
.mi({\u_logic/D4miu6 ,\u_logic/C7miu6 }),
.f({\u_logic/_al_u514_o ,\u_logic/_al_u244_o }),
.q({\u_logic/vis_r5_o[19] ,\u_logic/vis_r5_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(17834)
// ../rtl/topmodule/cortexm0ds_logic.v(20095)
// ../rtl/topmodule/cortexm0ds_logic.v(17311)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*D*C*B))"),
//.LUTF1("(D*~C*B*~A)"),
//.LUTG0("(A*~(1*D*C*B))"),
//.LUTG1("(D*~C*B*~A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b0000010000000000),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b0000010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jvkpw6_reg|u_logic/Urgbx6_reg (
.a({\u_logic/D2rpw6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/Dg2qw6 ,\u_logic/_al_u3497_o }),
.c({\u_logic/Drcbx6 ,\u_logic/Yw1iu6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Gwwpw6 ,\u_logic/M1xiu6 }),
.e({open_n94711,\u_logic/Qaqiu6 }),
.mi({\u_logic/Stkpw6 ,\u_logic/Kadbx6 }),
.f({\u_logic/_al_u405_o ,\u_logic/_al_u3502_o }),
.q({\u_logic/Jvkpw6 ,\u_logic/Urgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20095)
// ../rtl/topmodule/cortexm0ds_logic.v(17837)
// ../rtl/topmodule/cortexm0ds_logic.v(17784)
EG_PHY_LSLICE #(
//.LUTF0("~(~0*~(D*~(~C*~B*A)))"),
//.LUTF1("~(~0*~(D*~(~C*~B*A)))"),
//.LUTG0("~(~1*~(D*~(~C*~B*A)))"),
//.LUTG1("~(~1*~(D*~(~C*~B*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110100000000),
.INIT_LUTF1(16'b1111110100000000),
.INIT_LUTG0(16'b1111111111111111),
.INIT_LUTG1(16'b1111111111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Jvvpw6_reg|u_logic/Pexpw6_reg (
.a({\u_logic/Dx7iu6 ,\u_logic/Qt7iu6 }),
.b({\u_logic/_al_u3612_o ,\u_logic/_al_u3647_o }),
.c({\u_logic/_al_u3641_o ,\u_logic/_al_u3648_o }),
.clk(clk_pad),
.d({\u_logic/_al_u3643_o ,\u_logic/_al_u3643_o }),
.e({\u_logic/_al_u3644_o ,\u_logic/_al_u3649_o }),
.sr(RSTn_pad),
.q({\u_logic/Jvvpw6 ,\u_logic/Pexpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17837)
// ../rtl/topmodule/cortexm0ds_logic.v(18971)
// ../rtl/topmodule/cortexm0ds_logic.v(19736)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jy5bx6_reg|u_logic/Vxxax6_reg (
.a({\u_logic/Eqqow6 ,\u_logic/C7now6_lutinv }),
.b({\u_logic/Voqow6 ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r7_o[25] ,\u_logic/vis_r6_o[6] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[25] ,\u_logic/vis_r3_o[6] }),
.mi({\u_logic/Hsliu6 ,\u_logic/K39iu6 }),
.f({\u_logic/_al_u565_o ,\u_logic/_al_u322_o }),
.q({\u_logic/vis_r3_o[25] ,\u_logic/vis_r3_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(18971)
// ../rtl/topmodule/cortexm0ds_logic.v(19680)
// ../rtl/topmodule/cortexm0ds_logic.v(19698)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(D*C*~(B*~A))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(D*C*~(B*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1011000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/K65bx6_reg|u_logic/E05bx6_reg (
.a({\u_logic/_al_u4201_o ,open_n94762}),
.b({\u_logic/_al_u4166_o ,\u_logic/A9row6_lutinv }),
.c({\u_logic/C3wpw6 ,\u_logic/C5gbx6 }),
.ce(\u_logic/n1114 ),
.clk(clk_pad),
.d({\u_logic/U31bx6 ,\u_logic/_al_u1890_o }),
.mi({HWDATA[23],HWDATA[7]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4202_o ,\u_logic/_al_u2206_o }),
.q({\u_logic/K65bx6 ,\u_logic/E05bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19680)
// ../rtl/topmodule/cortexm0ds_logic.v(18706)
// ../rtl/topmodule/cortexm0ds_logic.v(18694)
EG_PHY_MSLICE #(
//.LUT0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUT1("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b0111001101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kakax6_reg|u_logic/Tokax6_reg (
.a({\u_logic/Wz4iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/n4330 ,\u_logic/n4330 }),
.c(HRDATA[21:20]),
.clk(clk_pad),
.d({\u_logic/Kakax6 ,\u_logic/Tokax6 }),
.q({\u_logic/Kakax6 ,\u_logic/Tokax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18706)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B*~(~0*A)))"),
//.LUTF1("~(~A*~(B*(D@C)))"),
//.LUTG0("(D*~(C*B*~(~1*A)))"),
//.LUTG1("~(~A*~(B*(D@C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111100000000),
.INIT_LUTF1(16'b1010111011101010),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b1010111011101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Kalpw6_reg|u_logic/_al_u2017 (
.a({\u_logic/_al_u1971_o ,\u_logic/X9zhu6 }),
.b({\u_logic/T8yhu6_lutinv ,\u_logic/_al_u2013_o }),
.c({\u_logic/_al_u133_o ,\u_logic/D6zhu6_lutinv }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Kalpw6 ,\u_logic/U5yhu6 }),
.e({open_n94800,\u_logic/Krlpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({open_n94815,\u_logic/_al_u2017_o }),
.q({\u_logic/Kalpw6 ,open_n94819})); // ../rtl/topmodule/cortexm0ds_logic.v(17335)
// ../rtl/topmodule/cortexm0ds_logic.v(19934)
// ../rtl/topmodule/cortexm0ds_logic.v(18183)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(B*~(C@D))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(B*~(C@D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b1100000000001100),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b1100000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kcaax6_reg|u_logic/Nlbbx6_reg (
.a({open_n94820,\u_logic/_al_u3042_o }),
.b({\u_logic/_al_u3395_o ,\u_logic/Iv1iu6 }),
.c({\u_logic/Z2aax6 ,\u_logic/Ar1iu6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Mzihu6 ,\u_logic/Nybbx6 }),
.e({open_n94821,\u_logic/vis_pc_o[26] }),
.mi({\u_logic/Z54iu6 ,\u_logic/G64iu6 }),
.f({\u_logic/_al_u3396_o ,\u_logic/_al_u3043_o }),
.q({\u_logic/Kcaax6 ,\u_logic/Nlbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19934)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111010000110000),
.INIT_LUT1(16'b1111111010111010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ke1qw6_reg (
.a({\u_logic/Oa4iu6 ,\u_logic/Oa4iu6 }),
.b({\u_logic/n394 ,\u_logic/n394 }),
.c({\u_logic/Gc1qw6 ,\u_logic/Gc1qw6 }),
.clk(clk_pad),
.d({\u_logic/Ke1qw6 ,\u_logic/Ke1qw6 }),
.mi({open_n94849,\u_logic/Vrkbx6 [9]}),
.q({open_n94856,\u_logic/Ke1qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17939)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kfoax6_reg (
.a({open_n94857,\u_logic/Ic6pw6 }),
.b({open_n94858,\u_logic/_al_u274_o }),
.c({open_n94859,\u_logic/Sa6pw6 }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({open_n94860,\u_logic/_al_u276_o }),
.mi({open_n94871,\u_logic/Vx9iu6 }),
.f({open_n94873,\u_logic/C80iu6 }),
.q({open_n94877,\u_logic/vis_r6_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18794)
// ../rtl/topmodule/cortexm0ds_logic.v(20174)
// ../rtl/topmodule/cortexm0ds_logic.v(18795)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Khoax6_reg|u_logic/Rlibx6_reg (
.a({\u_logic/Mnqow6 ,\u_logic/Kmqow6 }),
.b({\u_logic/Fnqow6 ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r4_o[25] ,\u_logic/vis_r6_o[6] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[25] ,\u_logic/vis_r4_o[6] }),
.mi({\u_logic/K39iu6 ,\u_logic/Gfniu6 }),
.f({\u_logic/Haxow6 ,\u_logic/_al_u480_o }),
.q({\u_logic/vis_r6_o[30] ,\u_logic/vis_r6_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20174)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kmjpw6_reg (
.a({open_n94896,\u_logic/_al_u237_o }),
.b({open_n94897,\u_logic/_al_u238_o }),
.c({open_n94898,\u_logic/_al_u239_o }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({open_n94899,\u_logic/_al_u240_o }),
.mi({open_n94903,\u_logic/P4liu6 }),
.f({open_n94916,\u_logic/S90iu6 }),
.q({open_n94920,\u_logic/vis_r8_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(17248)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(0*D)*~(C*~A))"),
//.LUT1("~(B*~(1*D)*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101110011),
.INIT_LUT1(16'b1111111101110011),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kn1qw6_reg (
.a({\u_logic/_al_u2623_o ,\u_logic/_al_u2623_o }),
.b({\u_logic/_al_u2625_o ,\u_logic/_al_u2625_o }),
.c({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.clk(clk_pad),
.d({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.mi({open_n94932,\u_logic/vis_pc_o[8] }),
.q({open_n94939,\u_logic/Kn1qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17944)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*~(C*~B*~A)))"),
//.LUT1("~(D*~(1*~(C*~B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b1110111111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Knhax6_reg (
.a({\u_logic/_al_u4574_o ,\u_logic/_al_u4574_o }),
.b({\u_logic/_al_u4603_o ,\u_logic/_al_u4603_o }),
.c({\u_logic/_al_u4605_o ,\u_logic/_al_u4605_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4777_o ,\u_logic/_al_u4777_o }),
.mi({open_n94951,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.q({open_n94957,\u_logic/vis_pc_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(18516)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTG0("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTG0(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kosax6_reg (
.a({open_n94958,\u_logic/_al_u885_o }),
.b({open_n94959,\u_logic/_al_u886_o }),
.c({open_n94960,\u_logic/Gq4ju6_lutinv }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({open_n94961,\u_logic/vis_r12_o[9] }),
.mi({open_n94965,\u_logic/K39iu6 }),
.f({open_n94978,\u_logic/_al_u887_o }),
.q({open_n94982,\u_logic/vis_r12_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(18871)
// ../rtl/topmodule/cortexm0ds_logic.v(18401)
// ../rtl/topmodule/cortexm0ds_logic.v(18246)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Krbax6_reg|u_logic/K6gax6_reg (
.a({\u_logic/_al_u3559_o ,\u_logic/_al_u3025_o }),
.b({\u_logic/_al_u3587_o ,\u_logic/_al_u3026_o }),
.c({\u_logic/H8gax6 ,\u_logic/Iv1iu6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/K6gax6 ,\u_logic/vis_pc_o[28] }),
.mi({\u_logic/T94iu6 ,\u_logic/Lm1iu6 }),
.f({\u_logic/Qt7iu6 ,\u_logic/_al_u3027_o }),
.q({\u_logic/Krbax6 ,\u_logic/K6gax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18401)
// ../rtl/topmodule/cortexm0ds_logic.v(17383)
// ../rtl/topmodule/cortexm0ds_logic.v(17384)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~A*~(D*~(~C*B)))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(~1*~A*~(D*~(~C*B)))"),
//.LUTG1("(C*~B*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010001010101),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Krlpw6_reg|u_logic/Vplpw6_reg (
.a({open_n94997,\u_logic/Golpw6 }),
.b({\u_logic/_al_u629_o ,\u_logic/Krlpw6 }),
.c({\u_logic/Krlpw6 ,\u_logic/Oulpw6 }),
.ce(\u_logic/U03iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u633_o ,\u_logic/Vplpw6 }),
.e({open_n94998,\u_logic/Zslpw6 }),
.mi({\u_logic/Vplpw6 ,\u_logic/Golpw6 }),
.f({\u_logic/U73iu6 ,\u_logic/_al_u616_o }),
.q({\u_logic/Krlpw6 ,\u_logic/Vplpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17383)
// ../rtl/topmodule/cortexm0ds_logic.v(18131)
// ../rtl/topmodule/cortexm0ds_logic.v(17825)
EG_PHY_MSLICE #(
//.LUT0("~(B*~A*~(D*~C))"),
//.LUT1("~(D*~(C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111110111011),
.INIT_LUT1(16'b0011000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kswpw6_reg|u_logic/Ro8ax6_reg (
.a({open_n95015,\u_logic/_al_u2975_o }),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/Ipsiu6 }),
.c({\u_logic/Kswpw6 ,\u_logic/_al_u4391_o }),
.clk(clk_pad),
.d({\u_logic/_al_u3151_o ,\u_logic/Wo1iu6 }),
.q({\u_logic/Kswpw6 ,\u_logic/Ro8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18131)
// ../rtl/topmodule/cortexm0ds_logic.v(17543)
// ../rtl/topmodule/cortexm0ds_logic.v(17544)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ktppw6_reg|u_logic/Lrppw6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/Eqqow6 }),
.b({\u_logic/Kmqow6 ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r6_o[5] ,\u_logic/vis_r7_o[1] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[5] ,\u_logic/vis_r5_o[1] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u467_o ,\u_logic/_al_u511_o }),
.q({\u_logic/vis_r1_o[3] ,\u_logic/vis_r1_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(17543)
// ../rtl/topmodule/cortexm0ds_logic.v(18324)
// ../rtl/topmodule/cortexm0ds_logic.v(18322)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kxeax6_reg|u_logic/C1fax6_reg (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/_al_u3220_o }),
.b({\u_logic/Iv1iu6 ,\u_logic/Dw1iu6 }),
.c({\u_logic/Pv9ax6 ,\u_logic/Cs1iu6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[2] ,\u_logic/Hi9bx6 }),
.e({open_n95049,\u_logic/Lg9bx6 }),
.mi({\u_logic/Pl4iu6 ,\u_logic/Oh4iu6 }),
.f({\u_logic/_al_u3253_o ,\u_logic/_al_u3221_o }),
.q({\u_logic/Kxeax6 ,\u_logic/C1fax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18324)
// ../rtl/topmodule/cortexm0ds_logic.v(18025)
// ../rtl/topmodule/cortexm0ds_logic.v(18015)
EG_PHY_LSLICE #(
//.LUTF0("~(C*D)"),
//.LUTF1("(D*~C*B*A)"),
//.LUTG0("~(C*D)"),
//.LUTG1("(D*~C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b0000100000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/L03qw6_reg|u_logic/P93qw6_reg (
.a({\u_logic/_al_u2914_o ,open_n95066}),
.b({\u_logic/_al_u131_o ,open_n95067}),
.c({\u_logic/_al_u2772_o ,\u_logic/_al_u131_o }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u3467_o ,\u_logic/V34iu6 }),
.mi({\u_logic/Bx2qw6 ,\u_logic/Z73qw6 }),
.f({\u_logic/Oa4iu6 ,\u_logic/n394 }),
.q({\u_logic/L03qw6 ,\u_logic/P93qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18025)
// ../rtl/topmodule/cortexm0ds_logic.v(20121)
// ../rtl/topmodule/cortexm0ds_logic.v(19905)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0111000011111000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0111000011111000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/L1bbx6_reg|u_logic/Ikhbx6_reg (
.a({HWDATA[2],HWDATA[4]}),
.b({\u_logic/O59iu6_lutinv ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/L1bbx6 ,\u_logic/Ikhbx6 }),
.clk(clk_pad),
.d({\u_logic/Ztupw6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.q({\u_logic/L1bbx6 ,\u_logic/Ikhbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20121)
EG_PHY_MSLICE #(
//.LUT0("~(C*~B*~A*~(0*D))"),
//.LUT1("~(C*~B*~A*~(1*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111111101111),
.INIT_LUT1(16'b1111111111101111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/L6hax6_reg (
.a({\u_logic/_al_u4800_o ,\u_logic/_al_u4800_o }),
.b({\u_logic/_al_u4663_o ,\u_logic/_al_u4663_o }),
.c({\u_logic/Lqcow6 ,\u_logic/Lqcow6 }),
.clk(clk_pad),
.d({\u_logic/Egziu6 ,\u_logic/Egziu6 }),
.mi({open_n95119,\u_logic/Nxkbx6 [25]}),
.sr(cpuresetn),
.q({open_n95125,\u_logic/vis_pc_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18462)
// ../rtl/topmodule/cortexm0ds_logic.v(18657)
// ../rtl/topmodule/cortexm0ds_logic.v(18693)
EG_PHY_MSLICE #(
//.LUT0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUT1("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b0111001101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/L8kax6_reg|u_logic/Smjax6_reg (
.a({\u_logic/Wz4iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/n4330 ,\u_logic/n4330 }),
.c({HRDATA[22],HRDATA[27]}),
.clk(clk_pad),
.d({\u_logic/L8kax6 ,\u_logic/Smjax6 }),
.q({\u_logic/L8kax6 ,\u_logic/Smjax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18657)
// ../rtl/topmodule/cortexm0ds_logic.v(19086)
// ../rtl/topmodule/cortexm0ds_logic.v(19080)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/L8zax6_reg|u_logic/Nazax6_reg (
.a({\u_logic/_al_u4292_o ,\u_logic/_al_u1903_o }),
.b({\u_logic/_al_u4294_o ,\u_logic/_al_u1931_o }),
.c({\u_logic/_al_u3009_o ,\u_logic/_al_u2198_o }),
.ce(\u_logic/n1113 ),
.clk(clk_pad),
.d({\u_logic/_al_u4295_o ,\u_logic/Uizax6 }),
.mi({\u_logic/Fsdiu6 ,HWDATA[23]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4296_o ,\u_logic/_al_u2199_o }),
.q({\u_logic/L8zax6 ,\u_logic/Nazax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19086)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(D*C)*~(0*B))"),
//.LUT1("~(A*~(D*C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111010101010101),
.INIT_LUT1(16'b1111110111011101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/L9bbx6_reg (
.a({\u_logic/_al_u3530_o ,\u_logic/_al_u3530_o }),
.b({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.c({\u_logic/Qwpiu6_lutinv ,\u_logic/Qwpiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Dugax6 ,\u_logic/Dugax6 }),
.mi({open_n95173,\u_logic/Vj3qw6 }),
.q({open_n95180,\u_logic/L9bbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19928)
// ../rtl/topmodule/cortexm0ds_logic.v(18931)
// ../rtl/topmodule/cortexm0ds_logic.v(18938)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ldwax6_reg|u_logic/Ozvax6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/U9now6_lutinv }),
.b({\u_logic/Xpqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r3_o[25] ,\u_logic/vis_r4_o[25] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[25] ,\u_logic/vis_r0_o[25] }),
.mi({\u_logic/Hsliu6 ,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u563_o ,\u_logic/_al_u288_o }),
.q({\u_logic/vis_r7_o[25] ,\u_logic/vis_r7_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(18931)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(0*~D)*~(C*~A))"),
//.LUT1("~(B*~(1*~D)*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101110011),
.INIT_LUT1(16'b0111001111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Lerpw6_reg (
.a({\u_logic/_al_u4660_o ,\u_logic/_al_u4660_o }),
.b({\u_logic/_al_u4754_o ,\u_logic/_al_u4754_o }),
.c({\u_logic/Zgziu6_lutinv ,\u_logic/Zgziu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/_al_u2647_o ,\u_logic/_al_u2647_o }),
.mi({open_n95206,\u_logic/vis_pc_o[0] }),
.sr(cpuresetn),
.q({open_n95212,\u_logic/vis_pc_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(17608)
// ../rtl/topmodule/cortexm0ds_logic.v(17695)
// ../rtl/topmodule/cortexm0ds_logic.v(17537)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lfppw6_reg|u_logic/X1upw6_reg (
.a({\u_logic/Mnqow6 ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Voqow6 ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r1_o[27] ,\u_logic/vis_r3_o[26] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[27] ,\u_logic/vis_r1_o[26] }),
.mi({\u_logic/X1liu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u583_o ,\u_logic/_al_u291_o }),
.q({\u_logic/vis_r5_o[27] ,\u_logic/vis_r5_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(17695)
// ../rtl/topmodule/cortexm0ds_logic.v(20008)
// ../rtl/topmodule/cortexm0ds_logic.v(18939)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lfwax6_reg|u_logic/T9fbx6_reg (
.a({\u_logic/_al_u243_o ,\u_logic/Dmqow6 }),
.b({\u_logic/_al_u244_o ,\u_logic/Eqqow6 }),
.c({\u_logic/_al_u245_o ,\u_logic/vis_r7_o[22] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/En7pw6 ,\u_logic/vis_r2_o[22] }),
.mi({\u_logic/Fyliu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/L90iu6 ,\u_logic/_al_u541_o }),
.q({\u_logic/vis_r7_o[21] ,\u_logic/vis_r7_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20008)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~((D*A))*~(0)+B*C*~((D*A))*~(0)+~(B)*~(C)*~((D*A))*0+B*~(C)*~((D*A))*0+~(B)*C*~((D*A))*0+B*C*~((D*A))*0+~(B)*~(C)*(D*A)*0+~(B)*C*(D*A)*0+B*C*(D*A)*0)"),
//.LUT1("(B*~(C)*~((D*A))*~(1)+B*C*~((D*A))*~(1)+~(B)*~(C)*~((D*A))*1+B*~(C)*~((D*A))*1+~(B)*C*~((D*A))*1+B*C*~((D*A))*1+~(B)*~(C)*(D*A)*1+~(B)*C*(D*A)*1+B*C*(D*A)*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011001100),
.INIT_LUT1(16'b1111011111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lgkax6_reg (
.a({\u_logic/_al_u2062_o ,\u_logic/_al_u2062_o }),
.b({\u_logic/D8iiu6 ,\u_logic/D8iiu6 }),
.c({\u_logic/_al_u2063_o ,\u_logic/_al_u2063_o }),
.clk(clk_pad),
.d({\u_logic/Aaiiu6 ,\u_logic/Aaiiu6 }),
.mi({open_n95260,\u_logic/Lgkax6 }),
.q({open_n95267,\u_logic/Lgkax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18702)
// ../rtl/topmodule/cortexm0ds_logic.v(17542)
// ../rtl/topmodule/cortexm0ds_logic.v(17538)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lhppw6_reg|u_logic/Lpppw6_reg (
.a({\u_logic/U9now6_lutinv ,\u_logic/Mnqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r0_o[29] ,\u_logic/vis_r5_o[12] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[29] ,\u_logic/vis_r4_o[12] }),
.mi({\u_logic/P4liu6 ,\u_logic/Bomiu6 }),
.f({\u_logic/_al_u311_o ,\u_logic/Vk1pw6 }),
.q({\u_logic/vis_r5_o[29] ,\u_logic/vis_r5_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(17542)
// ../rtl/topmodule/cortexm0ds_logic.v(18935)
// ../rtl/topmodule/cortexm0ds_logic.v(18940)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lhwax6_reg|u_logic/M7wax6_reg (
.a({\u_logic/Eqqow6 ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Fnqow6 ,\u_logic/U9now6_lutinv }),
.c({\u_logic/vis_r5_o[27] ,\u_logic/vis_r0_o[27] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[27] ,\u_logic/vis_r1_o[27] }),
.mi({\u_logic/E1miu6 ,\u_logic/X1liu6 }),
.f({\u_logic/_al_u582_o ,\u_logic/Zb5pw6 }),
.q({\u_logic/vis_r7_o[20] ,\u_logic/vis_r7_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(18935)
// ../rtl/topmodule/cortexm0ds_logic.v(18024)
// ../rtl/topmodule/cortexm0ds_logic.v(18099)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~A*~(D*B))"),
//.LUTF1("~(C*~A*~(D*B))"),
//.LUTG0("~(C*~A*~(D*B))"),
//.LUTG1("~(C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111110101111),
.INIT_LUTF1(16'b1110111110101111),
.INIT_LUTG0(16'b1110111110101111),
.INIT_LUTG1(16'b1110111110101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Li7ax6_reg|u_logic/Z73qw6_reg (
.a({\u_logic/_al_u985_o ,\u_logic/_al_u985_o }),
.b({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.c({\u_logic/Yg3iu6 ,\u_logic/Ed3iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Hg7ax6 ,\u_logic/V53qw6 }),
.q({\u_logic/Li7ax6 ,\u_logic/Z73qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18024)
// ../rtl/topmodule/cortexm0ds_logic.v(18123)
// ../rtl/topmodule/cortexm0ds_logic.v(19889)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*~C)*~(0*B))"),
//.LUTF1("(~A*~(0*~C)*~(D*B))"),
//.LUTG0("(~A*~(D*~C)*~(1*B))"),
//.LUTG1("(~A*~(1*~C)*~(D*B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101000001010101),
.INIT_LUTF1(16'b0001000101010101),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b0001000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Liabx6_reg|u_logic/Fj8ax6_reg (
.a({\u_logic/_al_u2975_o ,\u_logic/_al_u2975_o }),
.b({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.c({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Ad7ax6 ,\u_logic/Ggabx6 }),
.e({\u_logic/Z67ax6 ,\u_logic/Kl8ax6 }),
.mi({\u_logic/Xf8ax6 ,\u_logic/Oh8ax6 }),
.f({\u_logic/_al_u4285_o ,\u_logic/_al_u4331_o }),
.q({\u_logic/Liabx6 ,\u_logic/Fj8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18123)
// ../rtl/topmodule/cortexm0ds_logic.v(20006)
// ../rtl/topmodule/cortexm0ds_logic.v(17539)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ljppw6_reg|u_logic/T5fbx6_reg (
.a({\u_logic/Klyow6 ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/_al_u533_o ,\u_logic/U9now6_lutinv }),
.c({\u_logic/_al_u534_o ,\u_logic/vis_r7_o[22] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u535_o ,\u_logic/vis_r0_o[22] }),
.mi({\u_logic/Fyliu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/A00iu6 ,\u_logic/_al_u269_o }),
.q({\u_logic/vis_r5_o[21] ,\u_logic/vis_r5_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20006)
// ../rtl/topmodule/cortexm0ds_logic.v(18942)
// ../rtl/topmodule/cortexm0ds_logic.v(18941)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ljwax6_reg|u_logic/Llwax6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/V6now6_lutinv }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r0_o[19] ,\u_logic/vis_r3_o[19] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[19] ,\u_logic/vis_r2_o[19] }),
.mi({\u_logic/D4miu6 ,\u_logic/C7miu6 }),
.f({\u_logic/En7pw6 ,\u_logic/_al_u243_o }),
.q({\u_logic/vis_r7_o[19] ,\u_logic/vis_r7_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18942)
// ../rtl/topmodule/cortexm0ds_logic.v(18874)
// ../rtl/topmodule/cortexm0ds_logic.v(18869)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B)*~(~0*A))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(~C*~(~D*B)*~(~1*A))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lksax6_reg|u_logic/Jusax6_reg (
.a({\u_logic/_al_u1195_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/Svzhu6 ,\u_logic/_al_u3663_o }),
.c({\u_logic/J80pw6 ,\u_logic/_al_u3666_o }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Dfqow6 ,\u_logic/_al_u307_o }),
.e({\u_logic/Asupw6 ,\u_logic/Y50iu6 }),
.mi({\u_logic/Ef8iu6 ,\u_logic/Jgkiu6 }),
.f({\u_logic/_al_u1197_o ,\u_logic/Csnow6 }),
.q({\u_logic/vis_r12_o[4] ,\u_logic/vis_r12_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(18874)
// ../rtl/topmodule/cortexm0ds_logic.v(18948)
// ../rtl/topmodule/cortexm0ds_logic.v(18943)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lnwax6_reg|u_logic/Lxwax6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/Panow6_lutinv }),
.b({\u_logic/E8now6_lutinv ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r1_o[10] ,\u_logic/vis_r3_o[10] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[10] ,\u_logic/vis_r5_o[10] }),
.mi({\u_logic/Bamiu6 ,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u378_o ,\u_logic/_al_u376_o }),
.q({\u_logic/vis_r7_o[17] ,\u_logic/vis_r7_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(18948)
// ../rtl/topmodule/cortexm0ds_logic.v(18944)
// ../rtl/topmodule/cortexm0ds_logic.v(18945)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lrwax6_reg|u_logic/Lpwax6_reg (
.a({\u_logic/_al_u484_o ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/_al_u485_o ,\u_logic/X7now6_lutinv }),
.c({\u_logic/_al_u486_o ,\u_logic/vis_r5_o[16] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u487_o ,\u_logic/vis_r7_o[16] }),
.mi({\u_logic/Rimiu6 ,\u_logic/Admiu6 }),
.f({\u_logic/E20iu6 ,\u_logic/_al_u226_o }),
.q({\u_logic/vis_r7_o[14] ,\u_logic/vis_r7_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(18944)
// ../rtl/topmodule/cortexm0ds_logic.v(18936)
// ../rtl/topmodule/cortexm0ds_logic.v(18947)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lvwax6_reg|u_logic/M9wax6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/Panow6_lutinv }),
.b({\u_logic/Voqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r6_o[12] ,\u_logic/vis_r3_o[29] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[12] ,\u_logic/vis_r4_o[29] }),
.mi({\u_logic/Bomiu6 ,\u_logic/P4liu6 }),
.f({\u_logic/Lm1pw6 ,\u_logic/_al_u310_o }),
.q({\u_logic/vis_r7_o[12] ,\u_logic/vis_r7_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(18936)
// ../rtl/topmodule/cortexm0ds_logic.v(19967)
// ../rtl/topmodule/cortexm0ds_logic.v(18274)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C@B)*~(D@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000001001000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lycax6_reg|u_logic/T2dbx6_reg (
.a({\u_logic/Orkhu6 ,\u_logic/Kw1iu6_lutinv }),
.b({\u_logic/Wskhu6 ,\u_logic/Dw1iu6 }),
.c({\u_logic/Im9ax6 ,\u_logic/Ab9ax6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/N3jbx6 ,\u_logic/Gkeax6 }),
.mi({\u_logic/S54iu6 ,\u_logic/Y84iu6 }),
.f({\u_logic/_al_u3342_o ,\u_logic/_al_u3134_o }),
.q({\u_logic/Lycax6 ,\u_logic/T2dbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19967)
EG_PHY_MSLICE #(
//.LUT0("(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*~(D)*~(0)+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*~(0)+~(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))*D*0+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*0)"),
//.LUT1("(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*~(D)*~(1)+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*~(1)+~(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))*D*1+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101001101010011),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lywpw6_reg (
.a({\u_logic/_al_u1315_o ,\u_logic/_al_u1315_o }),
.b({\u_logic/_al_u1164_o ,\u_logic/_al_u1164_o }),
.c({\u_logic/_al_u1307_o ,\u_logic/_al_u1307_o }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/N64iu6 ,\u_logic/N64iu6 }),
.mi({open_n95465,\u_logic/Wvgax6 }),
.fx({open_n95470,HWDATA[18]}),
.q({open_n95471,\u_logic/Lywpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17828)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/M2ebx6_reg (
.a({\u_logic/_al_u2501_o ,\u_logic/_al_u2501_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Bwliu6 ,\u_logic/Bwliu6 }),
.mi({open_n95483,\u_logic/vis_pc_o[20] }),
.q({open_n95490,\u_logic/M2ebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19986)
// ../rtl/topmodule/cortexm0ds_logic.v(17880)
// ../rtl/topmodule/cortexm0ds_logic.v(18713)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/M2lax6_reg|u_logic/Sfypw6_reg (
.a({\u_logic/Ds4ju6_lutinv ,\u_logic/Qiqow6 }),
.b({\u_logic/Nq4ju6_lutinv ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r11_o[0] ,\u_logic/vis_r10_o[2] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[0] ,\u_logic/vis_r9_o[2] }),
.mi({\u_logic/Tx8iu6 ,\u_logic/Uoliu6 }),
.f({\u_logic/_al_u676_o ,\u_logic/_al_u1160_o }),
.q({\u_logic/vis_r1_o[0] ,\u_logic/vis_r1_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(17880)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/M3wax6_reg (
.a({open_n95509,\u_logic/_al_u478_o }),
.b({open_n95510,\u_logic/_al_u479_o }),
.c({open_n95511,\u_logic/_al_u480_o }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({open_n95512,\u_logic/_al_u481_o }),
.mi({open_n95523,\u_logic/Zvkiu6 }),
.f({open_n95525,\u_logic/Zvzhu6 }),
.q({open_n95529,\u_logic/vis_r7_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18933)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(D*~(~C*~(0*B))))"),
//.LUT1("~(A*~(D*~(~C*~(1*B))))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111010101010101),
.INIT_LUT1(16'b1111110101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/M6kax6_reg (
.a({\u_logic/Ir6ow6 ,\u_logic/Ir6ow6 }),
.b({\u_logic/Srbow6 ,\u_logic/Srbow6 }),
.c({\u_logic/_al_u154_o ,\u_logic/_al_u154_o }),
.ce(\u_logic/n3767 ),
.clk(clk_pad),
.d({\u_logic/N4kax6 ,\u_logic/N4kax6 }),
.mi({open_n95540,\u_logic/Ssjax6 }),
.q({open_n95547,\u_logic/M6kax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18692)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(0*~C)*~(D*B))"),
//.LUT1("~(~A*~(1*~C)*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111010101010),
.INIT_LUT1(16'b1110111110101111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/M81qw6_reg (
.a({\u_logic/_al_u3191_o ,\u_logic/_al_u3191_o }),
.b({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.c({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Ke1qw6 ,\u_logic/Ke1qw6 }),
.mi({open_n95559,\u_logic/M81qw6 }),
.q({open_n95566,\u_logic/M81qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17936)
// ../rtl/topmodule/cortexm0ds_logic.v(19710)
// ../rtl/topmodule/cortexm0ds_logic.v(19704)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/M85bx6_reg|u_logic/Oa5bx6_reg (
.a({\u_logic/_al_u2034_o ,open_n95567}),
.b({\u_logic/Qrgiu6 ,\u_logic/A9row6_lutinv }),
.c({\u_logic/I45bx6 ,\u_logic/Vkzax6 }),
.ce(\u_logic/n1114 ),
.clk(clk_pad),
.d({\u_logic/Uj4bx6 ,\u_logic/_al_u1890_o }),
.mi({HWDATA[30],HWDATA[31]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2221_o ,\u_logic/_al_u2222_o }),
.q({\u_logic/M85bx6 ,\u_logic/Oa5bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19710)
// ../rtl/topmodule/cortexm0ds_logic.v(19626)
// ../rtl/topmodule/cortexm0ds_logic.v(19608)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~A*~(0*D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~C*~B*~A*~(1*D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100000001),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Mb4bx6_reg|u_logic/Sh4bx6_reg (
.a({\u_logic/Yvgiu6 ,\u_logic/_al_u2213_o }),
.b({\u_logic/Q0fiu6 ,\u_logic/_al_u2214_o }),
.c({\u_logic/C14bx6 ,\u_logic/_al_u2215_o }),
.ce(\u_logic/n1115 ),
.clk(clk_pad),
.d({\u_logic/Coupw6 ,\u_logic/Bggiu6_lutinv }),
.e({open_n95585,\u_logic/Z9abx6 }),
.mi({\u_logic/Fsdiu6 ,HWDATA[31]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4439_o ,\u_logic/_al_u2216_o }),
.q({\u_logic/Mb4bx6 ,\u_logic/Sh4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19626)
// ../rtl/topmodule/cortexm0ds_logic.v(18271)
// ../rtl/topmodule/cortexm0ds_logic.v(18281)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("((C@B)*(D@A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("((C@B)*(D@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010000101000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010000101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Mbdax6_reg|u_logic/Uscax6_reg (
.a({\u_logic/_al_u2493_o ,\u_logic/Cs1iu6 }),
.b({\u_logic/_al_u2541_o ,\u_logic/Zt1iu6 }),
.c({\u_logic/Esabx6 ,\u_logic/G8ebx6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Nodax6 ,\u_logic/J6ebx6 }),
.mi({\u_logic/H34iu6 ,\u_logic/U64iu6 }),
.f({\u_logic/_al_u3607_o ,\u_logic/_al_u3114_o }),
.q({\u_logic/Mbdax6 ,\u_logic/Uscax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18271)
// ../rtl/topmodule/cortexm0ds_logic.v(18923)
// ../rtl/topmodule/cortexm0ds_logic.v(18937)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Mbwax6_reg|u_logic/Tjvax6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/Panow6_lutinv ,\u_logic/C7now6_lutinv }),
.c({\u_logic/vis_r2_o[0] ,\u_logic/vis_r6_o[0] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[0] ,\u_logic/vis_r7_o[0] }),
.mi({\u_logic/Uoliu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u190_o ,\u_logic/_al_u184_o }),
.q({\u_logic/vis_r7_o[1] ,\u_logic/vis_r7_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(18923)
// ../rtl/topmodule/cortexm0ds_logic.v(19008)
// ../rtl/topmodule/cortexm0ds_logic.v(18996)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(D*C)*~(0*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~B*~(D*C)*~(1*A))"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001100110011),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Mfyax6_reg|u_logic/Qjyax6_reg (
.a({open_n95633,\u_logic/Dagiu6_lutinv }),
.b({\u_logic/_al_u1067_o ,\u_logic/_al_u2208_o }),
.c({\u_logic/Cwyax6 ,\u_logic/_al_u1940_o }),
.ce(\u_logic/n1112 ),
.clk(clk_pad),
.d({\u_logic/_al_u1908_o ,\u_logic/_al_u2209_o }),
.e({open_n95634,\u_logic/Qf4bx6 }),
.mi({HWDATA[7],\u_logic/Fsdiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2214_o ,\u_logic/_al_u2210_o }),
.q({\u_logic/Mfyax6 ,\u_logic/Qjyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19008)
// ../rtl/topmodule/cortexm0ds_logic.v(20100)
// ../rtl/topmodule/cortexm0ds_logic.v(18313)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Mgeax6_reg|u_logic/Q1hbx6_reg (
.a({\u_logic/Xs1iu6 ,\u_logic/Zt1iu6 }),
.b({\u_logic/Ar1iu6 ,\u_logic/Iv1iu6 }),
.c({\u_logic/J5eax6 ,\u_logic/vis_pc_o[6] }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Qc5bx6 ,\u_logic/Rnaax6 }),
.mi({\u_logic/K84iu6 ,\u_logic/W74iu6 }),
.f({\u_logic/_al_u3238_o ,\u_logic/_al_u3202_o }),
.q({\u_logic/Mgeax6 ,\u_logic/Q1hbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20100)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(D*~C)*~(0*B))"),
//.LUT1("~(~A*~(D*~C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010111110101010),
.INIT_LUT1(16'b1110111111101110),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Mh1qw6_reg (
.a({\u_logic/_al_u3182_o ,\u_logic/_al_u3182_o }),
.b({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.c({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Mh1qw6 ,\u_logic/Mh1qw6 }),
.mi({open_n95679,\u_logic/Yf1qw6 }),
.q({open_n95686,\u_logic/Mh1qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17941)
// ../rtl/topmodule/cortexm0ds_logic.v(19548)
// ../rtl/topmodule/cortexm0ds_logic.v(20141)
EG_PHY_MSLICE #(
//.LUT0("(C*~A*~(D*B))"),
//.LUT1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000001010000),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Muhbx6_reg|u_logic/Sq3bx6_reg (
.a({open_n95687,\u_logic/_al_u2351_o }),
.b({\u_logic/_al_u1066_o ,HWDATA[8]}),
.c({\u_logic/_al_u1903_o ,\u_logic/_al_u2396_o }),
.clk(clk_pad),
.d({\u_logic/_al_u1902_o ,\u_logic/Kwfiu6 }),
.sr(cpuresetn),
.f({\u_logic/O4phu6 ,open_n95701}),
.q({\u_logic/Muhbx6 ,\u_logic/Sq3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19548)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(D*~C)*~(0*B))"),
//.LUT1("~(~A*~(D*~C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010111110101010),
.INIT_LUT1(16'b1110111111101110),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N0cbx6_reg (
.a({\u_logic/_al_u3046_o ,\u_logic/_al_u3046_o }),
.b({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.c({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/N0cbx6 ,\u_logic/N0cbx6 }),
.mi({open_n95716,\u_logic/Q4dbx6 }),
.q({open_n95723,\u_logic/N0cbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19942)
// ../rtl/topmodule/cortexm0ds_logic.v(19990)
// ../rtl/topmodule/cortexm0ds_logic.v(19807)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~C)*~(~0*~B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(~D*~C)*~(~1*~B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000100010000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1010101010100000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N19bx6_reg|u_logic/Daebx6_reg (
.a({\u_logic/St1iu6 ,\u_logic/_al_u3560_o }),
.b({\u_logic/Kw1iu6_lutinv ,\u_logic/_al_u2618_o }),
.c({HRDATA[15],\u_logic/_al_u2623_o }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Rg9ax6 ,\u_logic/B9jbx6 }),
.e({open_n95724,\u_logic/Y2fax6 }),
.mi({\u_logic/Q44iu6 ,\u_logic/I74iu6 }),
.f({\u_logic/_al_u4298_o ,\u_logic/_al_u3561_o }),
.q({\u_logic/N19bx6 ,\u_logic/Daebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19990)
// ../rtl/topmodule/cortexm0ds_logic.v(19801)
// ../rtl/topmodule/cortexm0ds_logic.v(18787)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N1oax6_reg|u_logic/Zp8bx6_reg (
.a({\u_logic/_al_u1272_o ,\u_logic/_al_u1304_o }),
.b({\u_logic/S20iu6 ,\u_logic/J10iu6 }),
.c({\u_logic/Gg1pw6 ,\u_logic/Pqzow6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/Ahqow6 ,\u_logic/Dfqow6 }),
.e({\u_logic/vis_r14_o[12] ,\u_logic/Pbbbx6 }),
.mi({\u_logic/Rimiu6 ,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u1274_o ,\u_logic/_al_u1306_o }),
.q({\u_logic/vis_r14_o[14] ,\u_logic/vis_r14_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19801)
// ../rtl/topmodule/cortexm0ds_logic.v(18300)
// ../rtl/topmodule/cortexm0ds_logic.v(20101)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(~D*~B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(~D*~B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0101111101001100),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0101111101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N3hbx6_reg|u_logic/R1eax6_reg (
.a({\u_logic/_al_u2521_o ,\u_logic/Kw1iu6_lutinv }),
.b({\u_logic/_al_u2513_o ,\u_logic/Jf7iu6 }),
.c({\u_logic/Kxeax6 ,\u_logic/Dfbax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Wfcbx6 ,\u_logic/Lx9ax6 }),
.mi({\u_logic/W74iu6 ,\u_logic/Pl4iu6 }),
.f({\u_logic/_al_u3570_o ,\u_logic/_al_u3271_o }),
.q({\u_logic/N3hbx6 ,\u_logic/R1eax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18300)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(D*C)*~(0*B))"),
//.LUT1("~(A*~(D*C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111010101010101),
.INIT_LUT1(16'b1111110111011101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/N4kax6_reg (
.a({\u_logic/_al_u2887_o ,\u_logic/_al_u2887_o }),
.b({\u_logic/Pp7iu6 ,\u_logic/Pp7iu6 }),
.c({\u_logic/Kq7iu6 ,\u_logic/Kq7iu6 }),
.clk(clk_pad),
.d({HRDATA[23],HRDATA[23]}),
.mi({open_n95786,HRDATA[7]}),
.sr(cpuresetn),
.q({open_n95792,\u_logic/N4kax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18687)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N61qw6_reg (
.a({\u_logic/_al_u2521_o ,\u_logic/_al_u2521_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Y3niu6 ,\u_logic/Y3niu6 }),
.mi({open_n95804,\u_logic/vis_pc_o[7] }),
.q({open_n95811,\u_logic/N61qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17935)
// ../rtl/topmodule/cortexm0ds_logic.v(20010)
// ../rtl/topmodule/cortexm0ds_logic.v(18790)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N7oax6_reg|u_logic/Tdfbx6_reg (
.a({\u_logic/_al_u1332_o ,\u_logic/_al_u1303_o }),
.b({\u_logic/A00iu6 ,\u_logic/Fgqow6 }),
.c({\u_logic/_al_u1333_o ,\u_logic/Yfqow6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/Ahqow6 ,\u_logic/vis_msp_o[15] }),
.e({\u_logic/vis_r14_o[21] ,\u_logic/vis_psp_o[15] }),
.mi({\u_logic/Hymiu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u1334_o ,\u_logic/_al_u1304_o }),
.q({\u_logic/vis_r14_o[10] ,\u_logic/vis_r14_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20010)
// ../rtl/topmodule/cortexm0ds_logic.v(20173)
// ../rtl/topmodule/cortexm0ds_logic.v(17533)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N7ppw6_reg|u_logic/Rjibx6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Kmqow6 ,\u_logic/Voqow6 }),
.c({\u_logic/vis_r6_o[25] ,\u_logic/vis_r1_o[6] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[25] ,\u_logic/vis_r0_o[6] }),
.mi({\u_logic/K39iu6 ,\u_logic/Gfniu6 }),
.f({\u_logic/Oaxow6 ,\u_logic/_al_u481_o }),
.q({\u_logic/vis_r5_o[30] ,\u_logic/vis_r5_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20173)
// ../rtl/topmodule/cortexm0ds_logic.v(18776)
// ../rtl/topmodule/cortexm0ds_logic.v(18791)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N9oax6_reg|u_logic/Nfnax6_reg (
.a({\u_logic/_al_u1206_o ,\u_logic/_al_u1352_o }),
.b({\u_logic/Evzhu6 ,\u_logic/Fzzhu6 }),
.c({\u_logic/_al_u1207_o ,\u_logic/Hoxow6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/Dfqow6 ,\u_logic/Dfqow6 }),
.e({\u_logic/Kn1qw6 ,\u_logic/Tgkbx6 }),
.mi({\u_logic/X6niu6 ,\u_logic/Zvkiu6 }),
.f({\u_logic/I28ju6 ,\u_logic/_al_u1354_o }),
.q({\u_logic/vis_r14_o[8] ,\u_logic/vis_r14_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18776)
// ../rtl/topmodule/cortexm0ds_logic.v(17532)
// ../rtl/topmodule/cortexm0ds_logic.v(17535)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nbppw6_reg|u_logic/O5ppw6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Mnqow6 ,\u_logic/Dmqow6 }),
.c({\u_logic/vis_r6_o[1] ,\u_logic/vis_r0_o[1] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[1] ,\u_logic/vis_r2_o[1] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u510_o ,\u_logic/Hmzow6 }),
.q({\u_logic/vis_r5_o[3] ,\u_logic/vis_r5_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(17532)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b1111101111101010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nd3qw6_reg (
.a({\u_logic/Oa4iu6 ,\u_logic/Oa4iu6 }),
.b({\u_logic/n394 ,\u_logic/n394 }),
.c({\u_logic/Nd3qw6 ,\u_logic/Nd3qw6 }),
.clk(clk_pad),
.d({\u_logic/O1mpw6 ,\u_logic/O1mpw6 }),
.mi({open_n95887,\u_logic/Vrkbx6 [8]}),
.q({open_n95894,\u_logic/Nd3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18032)
// ../rtl/topmodule/cortexm0ds_logic.v(18175)
// ../rtl/topmodule/cortexm0ds_logic.v(18406)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(D*(C@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0011110000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nfgax6_reg|u_logic/Lx9ax6_reg (
.a({open_n95895,\u_logic/_al_u4279_o }),
.b({\u_logic/_al_u2533_o ,\u_logic/_al_u4281_o }),
.c({\u_logic/U7dax6 ,\u_logic/St1iu6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/_al_u3638_o ,HRDATA[17]}),
.mi({\u_logic/F94iu6 ,\u_logic/Ud4iu6 }),
.f({\u_logic/_al_u3639_o ,\u_logic/_al_u4282_o }),
.q({\u_logic/Nfgax6 ,\u_logic/Lx9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18175)
// ../rtl/topmodule/cortexm0ds_logic.v(19864)
// ../rtl/topmodule/cortexm0ds_logic.v(20066)
EG_PHY_LSLICE #(
//.LUTF0("(~D*B*A*~(0*C))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(~D*B*A*~(1*C))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010001000),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Nhgbx6_reg|u_logic/V5abx6_reg (
.a({\u_logic/_al_u2193_o ,\u_logic/_al_u2207_o }),
.b({\u_logic/_al_u2194_o ,\u_logic/_al_u2210_o }),
.c({\u_logic/_al_u2196_o ,\u_logic/_al_u2106_o }),
.ce(\u_logic/n1113 ),
.clk(clk_pad),
.d({\u_logic/Eegiu6_lutinv ,\u_logic/_al_u2211_o }),
.e({\u_logic/K94bx6 ,\u_logic/M85bx6 }),
.mi({HWDATA[22],HWDATA[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2197_o ,\u_logic/_al_u2212_o }),
.q({\u_logic/Nhgbx6 ,\u_logic/V5abx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19864)
// ../rtl/topmodule/cortexm0ds_logic.v(18778)
// ../rtl/topmodule/cortexm0ds_logic.v(18777)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nhnax6_reg|u_logic/Njnax6_reg (
.a({\u_logic/_al_u1378_o ,\u_logic/_al_u1371_o }),
.b({\u_logic/Kyzhu6 ,\u_logic/Ryzhu6 }),
.c({\u_logic/_al_u1379_o ,\u_logic/_al_u1372_o }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/Hhqow6 ,\u_logic/Hhqow6 }),
.e({\u_logic/vis_r12_o[27] ,\u_logic/vis_r12_o[26] }),
.mi({\u_logic/Fzkiu6 ,\u_logic/X1liu6 }),
.f({\u_logic/_al_u1380_o ,\u_logic/_al_u1373_o }),
.q({\u_logic/vis_r14_o[26] ,\u_logic/vis_r14_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(18778)
// ../rtl/topmodule/cortexm0ds_logic.v(19716)
// ../rtl/topmodule/cortexm0ds_logic.v(19718)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ni5bx6_reg|u_logic/Pe5bx6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/Panow6_lutinv ,\u_logic/V6now6_lutinv }),
.c({\u_logic/vis_r3_o[24] ,\u_logic/vis_r6_o[25] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[24] ,\u_logic/vis_r2_o[25] }),
.mi({\u_logic/Zvkiu6 ,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u282_o ,\u_logic/Rs5pw6 }),
.q({\u_logic/vis_r3_o[24] ,\u_logic/vis_r3_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(19716)
// ../rtl/topmodule/cortexm0ds_logic.v(18228)
// ../rtl/topmodule/cortexm0ds_logic.v(17992)
EG_PHY_LSLICE #(
//.LUTF0("(~((~B*~A))*C*~(D)*~(0)+~((~B*~A))*~(C)*D*~(0)+~((~B*~A))*C*D*~(0)+~((~B*~A))*~(C)*~(D)*0+(~B*~A)*~(C)*~(D)*0+~((~B*~A))*C*~(D)*0+~((~B*~A))*~(C)*D*0+(~B*~A)*~(C)*D*0+~((~B*~A))*C*D*0)"),
//.LUTF1("~(~B*~(D*~(~C*A)))"),
//.LUTG0("(~((~B*~A))*C*~(D)*~(1)+~((~B*~A))*~(C)*D*~(1)+~((~B*~A))*C*D*~(1)+~((~B*~A))*~(C)*~(D)*1+(~B*~A)*~(C)*~(D)*1+~((~B*~A))*C*~(D)*1+~((~B*~A))*~(C)*D*1+(~B*~A)*~(C)*D*1+~((~B*~A))*C*D*1)"),
//.LUTG1("~(~B*~(D*~(~C*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111011100000),
.INIT_LUTF1(16'b1111110111001100),
.INIT_LUTG0(16'b1110111111101111),
.INIT_LUTG1(16'b1111110111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Nj2qw6_reg|u_logic/Rkbax6_reg (
.a({\u_logic/_al_u410_o ,\u_logic/_al_u3378_o }),
.b({\u_logic/_al_u414_o ,\u_logic/_al_u3465_o }),
.c({\u_logic/Dg2qw6 ,\u_logic/_al_u3479_o }),
.clk(clk_pad),
.d({\u_logic/Nj2qw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.e({open_n95956,\u_logic/Rkbax6 }),
.sr(RSTn_pad),
.q({\u_logic/Nj2qw6 ,\u_logic/Rkbax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18228)
// ../rtl/topmodule/cortexm0ds_logic.v(18043)
// ../rtl/topmodule/cortexm0ds_logic.v(19953)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~B*~(C*D))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000001100110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nlcbx6_reg|u_logic/Ym3qw6_reg (
.b({\u_logic/_al_u3249_o ,open_n95978}),
.c({\u_logic/Ahdax6 ,\u_logic/Cfvpw6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Xs1iu6 ,\u_logic/Iqzhu6_lutinv }),
.mi({\u_logic/R84iu6 ,open_n95989}),
.f({\u_logic/_al_u3269_o ,\u_logic/U64iu6 }),
.q({\u_logic/Nlcbx6 ,\u_logic/Ym3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18043)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*~(C*~B*~A)))"),
//.LUT1("~(D*~(1*~(C*~B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b1110111111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Nlhax6_reg (
.a({\u_logic/_al_u4607_o ,\u_logic/_al_u4607_o }),
.b({\u_logic/_al_u4574_o ,\u_logic/_al_u4574_o }),
.c({\u_logic/_al_u4609_o ,\u_logic/_al_u4609_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4780_o ,\u_logic/_al_u4780_o }),
.mi({open_n96005,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.q({open_n96011,\u_logic/vis_pc_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(18510)
// ../rtl/topmodule/cortexm0ds_logic.v(18786)
// ../rtl/topmodule/cortexm0ds_logic.v(18779)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100000101010),
.INIT_LUT1(16'b0000100000101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nlnax6_reg|u_logic/Nznax6_reg (
.a({\u_logic/_al_u3651_o ,\u_logic/_al_u3651_o }),
.b({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.c({\u_logic/Z90iu6 ,\u_logic/S90iu6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/L90iu6 ,\u_logic/X80iu6 }),
.mi({\u_logic/P4liu6 ,\u_logic/Admiu6 }),
.f({\u_logic/_al_u3880_o ,\u_logic/_al_u3878_o }),
.q({\u_logic/vis_r14_o[29] ,\u_logic/vis_r14_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(18786)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nm5bx6_reg (
.a({open_n96026,\u_logic/_al_u297_o }),
.b({open_n96027,\u_logic/_al_u298_o }),
.c({open_n96028,\u_logic/Ja5pw6 }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({open_n96029,\u_logic/Zb5pw6 }),
.mi({open_n96033,\u_logic/X1liu6 }),
.f({open_n96046,\u_logic/A70iu6 }),
.q({open_n96050,\u_logic/vis_r3_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(19720)
// ../rtl/topmodule/cortexm0ds_logic.v(18398)
// ../rtl/topmodule/cortexm0ds_logic.v(18361)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(D*C*~A))"),
//.LUT1("(B*~(D*C*~A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110011001100),
.INIT_LUT1(16'b1000110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nmfax6_reg|u_logic/J0gax6_reg (
.a({\u_logic/Cjqpw6 ,\u_logic/Cjqpw6 }),
.b({\u_logic/Okfax6 ,\u_logic/Pifax6 }),
.c({\u_logic/Qwfax6 ,\u_logic/Qwfax6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Utqpw6 ,\u_logic/Utqpw6 }),
.q({\u_logic/Nmfax6 ,\u_logic/J0gax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18398)
// ../rtl/topmodule/cortexm0ds_logic.v(17818)
// ../rtl/topmodule/cortexm0ds_logic.v(18044)
EG_PHY_MSLICE #(
//.LUT0("(~C*A*~(D*B))"),
//.LUT1("(~D*C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001000001010),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/No3qw6_reg|u_logic/Dpwpw6_reg (
.a({\u_logic/_al_u3245_o ,\u_logic/_al_u3195_o }),
.b({\u_logic/_al_u3246_o ,\u_logic/St1iu6 }),
.c({\u_logic/_al_u3247_o ,\u_logic/_al_u3198_o }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/_al_u3250_o ,HRDATA[7]}),
.mi({\u_logic/D84iu6 ,\u_logic/Z54iu6 }),
.f({\u_logic/_al_u3251_o ,\u_logic/_al_u3199_o }),
.q({\u_logic/No3qw6 ,\u_logic/Dpwpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17818)
// ../rtl/topmodule/cortexm0ds_logic.v(19937)
// ../rtl/topmodule/cortexm0ds_logic.v(18293)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(~C*~B)*~(~D*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1111110010101000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nodax6_reg|u_logic/Erbbx6_reg (
.a({\u_logic/_al_u2489_o ,\u_logic/Zt1iu6 }),
.b({\u_logic/_al_u2583_o ,\u_logic/Jf7iu6 }),
.c({\u_logic/Htbax6 ,\u_logic/Ftaax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Yybax6 ,\u_logic/Zgbax6 }),
.mi({\u_logic/U64iu6 ,\u_logic/G64iu6 }),
.f({\u_logic/_al_u3547_o ,\u_logic/_al_u3246_o }),
.q({\u_logic/Nodax6 ,\u_logic/Erbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19937)
// ../rtl/topmodule/cortexm0ds_logic.v(18191)
// ../rtl/topmodule/cortexm0ds_logic.v(18190)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*A*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0010000010100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Npaax6_reg|u_logic/Jraax6_reg (
.a({\u_logic/_al_u3145_o ,\u_logic/Vr1iu6 }),
.b({\u_logic/St1iu6 ,\u_logic/Ar1iu6 }),
.c({\u_logic/_al_u3146_o ,\u_logic/Ua9bx6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({HRDATA[18],\u_logic/Zl9bx6 }),
.mi({\u_logic/Oh4iu6 ,\u_logic/H34iu6 }),
.f({\u_logic/_al_u3147_o ,\u_logic/_al_u3220_o }),
.q({\u_logic/Npaax6 ,\u_logic/Jraax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18191)
// ../rtl/topmodule/cortexm0ds_logic.v(18782)
// ../rtl/topmodule/cortexm0ds_logic.v(18781)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Npnax6_reg|u_logic/Nrnax6_reg (
.a({\u_logic/Dfqow6 ,\u_logic/_al_u1414_o }),
.b({\u_logic/Ahqow6 ,\u_logic/Q10iu6 }),
.c({\u_logic/vis_r14_o[27] ,\u_logic/_al_u1415_o }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/Nybbx6 ,\u_logic/Ahqow6 }),
.e({open_n96111,\u_logic/vis_r14_o[16] }),
.mi({\u_logic/Fyliu6 ,\u_logic/E1miu6 }),
.f({\u_logic/_al_u1379_o ,\u_logic/_al_u1416_o }),
.q({\u_logic/vis_r14_o[21] ,\u_logic/vis_r14_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(18782)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(~0*~D*C*A))"),
//.LUT1("~(B*~(~1*~D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001110110011),
.INIT_LUT1(16'b0011001100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nu5bx6_reg (
.a({\u_logic/Iiliu6 ,\u_logic/Iiliu6 }),
.b({\u_logic/_al_u2807_o ,\u_logic/_al_u2807_o }),
.c({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1499_o ,\u_logic/_al_u1499_o }),
.mi({open_n96139,\u_logic/_al_u1223_o }),
.q({open_n96146,\u_logic/Nu5bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19729)
// ../rtl/topmodule/cortexm0ds_logic.v(17595)
// ../rtl/topmodule/cortexm0ds_logic.v(18048)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*~B))"),
//.LUT1("(~C*B*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011001111),
.INIT_LUT1(16'b0000000000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nv3qw6_reg|u_logic/Yzqpw6_reg (
.b({\u_logic/Sqfax6 ,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/Uofax6 ,\u_logic/X42qw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Jxgax6 ,\u_logic/_al_u2975_o }),
.mi({\u_logic/Wt3qw6 ,\u_logic/Zwnpw6 }),
.f({\u_logic/_al_u2756_o ,\u_logic/_al_u3003_o }),
.q({\u_logic/Nv3qw6 ,\u_logic/Yzqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17595)
// ../rtl/topmodule/cortexm0ds_logic.v(18768)
// ../rtl/topmodule/cortexm0ds_logic.v(18784)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nvnax6_reg|u_logic/Szmax6_reg (
.a({\u_logic/_al_u773_o ,\u_logic/Hhqow6 }),
.b({\u_logic/_al_u774_o ,\u_logic/Ahqow6 }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/vis_r12_o[29] }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[16] ,\u_logic/vis_r14_o[29] }),
.mi({\u_logic/C7miu6 ,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u775_o ,\u_logic/_al_u1408_o }),
.q({\u_logic/vis_r14_o[18] ,\u_logic/vis_r14_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18768)
// ../rtl/topmodule/cortexm0ds_logic.v(19993)
// ../rtl/topmodule/cortexm0ds_logic.v(19983)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(~C*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nwdbx6_reg|u_logic/Ufebx6_reg (
.a({open_n96181,\u_logic/Umuiu6 }),
.b({open_n96182,\u_logic/_al_u3112_o }),
.c({\u_logic/Kqdax6 ,\u_logic/Xs1iu6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/_al_u2489_o ,\u_logic/Acebx6 }),
.mi({\u_logic/B74iu6 ,\u_logic/I74iu6 }),
.f({\u_logic/_al_u3597_o ,\u_logic/_al_u3113_o }),
.q({\u_logic/Nwdbx6 ,\u_logic/Ufebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19993)
// ../rtl/topmodule/cortexm0ds_logic.v(18789)
// ../rtl/topmodule/cortexm0ds_logic.v(18785)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111111101001100),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nxnax6_reg|u_logic/N5oax6_reg (
.a({\u_logic/_al_u1321_o ,\u_logic/_al_u775_o }),
.b({\u_logic/V00iu6 ,\u_logic/_al_u673_o }),
.c({\u_logic/Dfqow6 ,\u_logic/Ga0iu6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/T6kbx6 ,\u_logic/Chwpw6 }),
.mi({\u_logic/Bamiu6 ,\u_logic/Bomiu6 }),
.f({\u_logic/_al_u1322_o ,\u_logic/_al_u776_o }),
.q({\u_logic/vis_r14_o[17] ,\u_logic/vis_r14_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(18789)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nybbx6_reg (
.a({\u_logic/_al_u2517_o ,\u_logic/_al_u2517_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/E2liu6 ,\u_logic/E2liu6 }),
.mi({open_n96226,\u_logic/vis_pc_o[26] }),
.q({open_n96233,\u_logic/Nybbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19941)
// ../rtl/topmodule/cortexm0ds_logic.v(18856)
// ../rtl/topmodule/cortexm0ds_logic.v(18859)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/O0sax6_reg|u_logic/Ourax6_reg (
.a({\u_logic/Hhqow6 ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Ahqow6 ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r12_o[19] ,\u_logic/vis_r8_o[17] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[19] ,\u_logic/vis_r10_o[17] }),
.mi({\u_logic/C7miu6 ,\u_logic/Fyliu6 }),
.f({\u_logic/F0zow6 ,\u_logic/_al_u779_o }),
.q({\u_logic/vis_r8_o[18] ,\u_logic/vis_r8_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(18856)
// ../rtl/topmodule/cortexm0ds_logic.v(20178)
// ../rtl/topmodule/cortexm0ds_logic.v(20182)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/O1jbx6_reg|u_logic/Rtibx6_reg (
.a({\u_logic/_al_u935_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u936_o ,\u_logic/_al_u745_o }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/vis_psp_o[7] }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[7] ,\u_logic/vis_r14_o[9] }),
.mi({\u_logic/K3niu6 ,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u937_o ,\u_logic/_al_u886_o }),
.q({\u_logic/vis_psp_o[7] ,\u_logic/vis_psp_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(20178)
// ../rtl/topmodule/cortexm0ds_logic.v(18865)
// ../rtl/topmodule/cortexm0ds_logic.v(18860)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/O2sax6_reg|u_logic/Ocsax6_reg (
.a({\u_logic/_al_u1312_o ,\u_logic/_al_u1302_o }),
.b({\u_logic/_al_u1313_o ,\u_logic/Ljqow6 }),
.c({\u_logic/Yfqow6 ,\u_logic/Qiqow6 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[16] ,\u_logic/vis_r9_o[17] }),
.e({open_n96266,\u_logic/vis_r11_o[17] }),
.mi({\u_logic/Bamiu6 ,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u1314_o ,\u_logic/_al_u1303_o }),
.q({\u_logic/vis_r8_o[17] ,\u_logic/vis_r8_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(18865)
EG_PHY_MSLICE #(
//.LUT0("~(C*~B*~A*~(0*D))"),
//.LUT1("~(C*~B*~A*~(1*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111111101111),
.INIT_LUT1(16'b1111111111101111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/O4hax6_reg (
.a({\u_logic/_al_u4803_o ,\u_logic/_al_u4803_o }),
.b({\u_logic/_al_u4663_o ,\u_logic/_al_u4663_o }),
.c({\u_logic/Rmcow6 ,\u_logic/Rmcow6 }),
.clk(clk_pad),
.d({\u_logic/Egziu6 ,\u_logic/Egziu6 }),
.mi({open_n96294,\u_logic/Nxkbx6 [26]}),
.sr(cpuresetn),
.q({open_n96300,\u_logic/vis_pc_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18456)
// ../rtl/topmodule/cortexm0ds_logic.v(18850)
// ../rtl/topmodule/cortexm0ds_logic.v(18862)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/O6sax6_reg|u_logic/Qirax6_reg (
.a({\u_logic/_al_u850_o ,\u_logic/_al_u1412_o }),
.b({\u_logic/_al_u851_o ,\u_logic/Ljqow6 }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/Gkqow6 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[27] ,\u_logic/vis_r11_o[16] }),
.e({open_n96301,\u_logic/vis_r8_o[16] }),
.mi({\u_logic/Rimiu6 ,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u852_o ,\u_logic/_al_u1413_o }),
.q({\u_logic/vis_r8_o[14] ,\u_logic/vis_r8_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18850)
// ../rtl/topmodule/cortexm0ds_logic.v(17430)
// ../rtl/topmodule/cortexm0ds_logic.v(18864)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Oasax6_reg|u_logic/Mlmpw6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u745_o ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r14_o[27] ,\u_logic/vis_r14_o[16] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[25] ,\u_logic/vis_r10_o[16] }),
.mi({\u_logic/Bomiu6 ,\u_logic/X1liu6 }),
.f({\u_logic/_al_u851_o ,\u_logic/_al_u771_o }),
.q({\u_logic/vis_r8_o[12] ,\u_logic/vis_r8_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(17430)
// ../rtl/topmodule/cortexm0ds_logic.v(19602)
// ../rtl/topmodule/cortexm0ds_logic.v(19614)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Od4bx6_reg|u_logic/K94bx6_reg (
.a({\u_logic/Tzdiu6 ,\u_logic/Tzdiu6 }),
.b({\u_logic/G2fiu6 ,\u_logic/I3fiu6 }),
.c({\u_logic/C5gbx6 ,\u_logic/Bcabx6 }),
.ce(\u_logic/n1115 ),
.clk(clk_pad),
.d({\u_logic/Pjgbx6 ,\u_logic/Nv9bx6 }),
.mi({HWDATA[23],HWDATA[14]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3097_o ,\u_logic/Lvlow6 }),
.q({\u_logic/Od4bx6 ,\u_logic/K94bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19602)
// ../rtl/topmodule/cortexm0ds_logic.v(18767)
// ../rtl/topmodule/cortexm0ds_logic.v(18775)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Odnax6_reg|u_logic/Txmax6_reg (
.a({\u_logic/Hhqow6 ,\u_logic/_al_u1168_o }),
.b({\u_logic/Ahqow6 ,\u_logic/Uwzhu6 }),
.c({\u_logic/vis_r12_o[7] ,\u_logic/_al_u1169_o }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[7] ,\u_logic/Ahqow6 }),
.e({open_n96353,\u_logic/vis_r14_o[3] }),
.mi({\u_logic/Drkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/J80pw6 ,\u_logic/_al_u1170_o }),
.q({\u_logic/vis_r14_o[7] ,\u_logic/vis_r14_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18767)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~((D*A))*~(0)+B*C*~((D*A))*~(0)+~(B)*~(C)*~((D*A))*0+B*~(C)*~((D*A))*0+~(B)*C*~((D*A))*0+B*C*~((D*A))*0+~(B)*~(C)*(D*A)*0+~(B)*C*(D*A)*0+B*C*(D*A)*0)"),
//.LUT1("(B*~(C)*~((D*A))*~(1)+B*C*~((D*A))*~(1)+~(B)*~(C)*~((D*A))*1+B*~(C)*~((D*A))*1+~(B)*C*~((D*A))*1+B*C*~((D*A))*1+~(B)*~(C)*(D*A)*1+~(B)*C*(D*A)*1+B*C*(D*A)*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011001100),
.INIT_LUT1(16'b1111011111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Oikax6_reg (
.a({\u_logic/_al_u2355_o ,\u_logic/_al_u2355_o }),
.b({\u_logic/D8iiu6 ,\u_logic/D8iiu6 }),
.c({\u_logic/_al_u2356_o ,\u_logic/_al_u2356_o }),
.clk(clk_pad),
.d({\u_logic/Aaiiu6 ,\u_logic/Aaiiu6 }),
.mi({open_n96381,\u_logic/Oikax6 }),
.q({open_n96388,\u_logic/Oikax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18703)
EG_PHY_MSLICE #(
//.LUT0("(0*C*~A*~(D*B))"),
//.LUT1("(1*C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0001000001010000),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Om3bx6_reg (
.a({\u_logic/_al_u2351_o ,\u_logic/_al_u2351_o }),
.b({HWDATA[7],HWDATA[7]}),
.c({\u_logic/_al_u2421_o ,\u_logic/_al_u2421_o }),
.clk(clk_pad),
.d({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.mi({open_n96400,key_interrupt[3]}),
.sr(cpuresetn),
.q({open_n96406,\u_logic/Om3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19536)
// ../rtl/topmodule/cortexm0ds_logic.v(18258)
// ../rtl/topmodule/cortexm0ds_logic.v(18245)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(0*C)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~B*~(1*C)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000100110011),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000100000011),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Opbax6_reg|u_logic/Xdcax6_reg (
.a({\u_logic/Sg7iu6 ,\u_logic/St1iu6 }),
.b({\u_logic/Eg7iu6 ,\u_logic/_al_u2979_o }),
.c({\u_logic/P0bax6 ,\u_logic/Kw1iu6_lutinv }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/T7bax6 ,HRDATA[9]}),
.e({open_n96407,\u_logic/N3jbx6 }),
.mi({\u_logic/Ud4iu6 ,\u_logic/Oh4iu6 }),
.f({\u_logic/_al_u3266_o ,\u_logic/_al_u3177_o }),
.q({\u_logic/Opbax6 ,\u_logic/Xdcax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18258)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Osrax6_reg (
.a({open_n96424,\u_logic/_al_u871_o }),
.b({open_n96425,\u_logic/_al_u872_o }),
.c({open_n96426,\u_logic/Nq4ju6_lutinv }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({open_n96427,\u_logic/vis_r11_o[30] }),
.mi({open_n96438,\u_logic/Hsliu6 }),
.f({open_n96440,\u_logic/_al_u873_o }),
.q({open_n96444,\u_logic/vis_r8_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(18855)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ovopw6_reg (
.a({open_n96445,\u_logic/_al_u261_o }),
.b({open_n96446,\u_logic/_al_u262_o }),
.c({open_n96447,\u_logic/_al_u263_o }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({open_n96448,\u_logic/_al_u264_o }),
.mi({open_n96459,\u_logic/P4liu6 }),
.f({open_n96461,\u_logic/Q80iu6 }),
.q({open_n96465,\u_logic/vis_r9_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(17527)
// ../rtl/topmodule/cortexm0ds_logic.v(20188)
// ../rtl/topmodule/cortexm0ds_logic.v(18273)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Owcax6_reg|u_logic/Tcjbx6_reg (
.a({\u_logic/Cs1iu6 ,\u_logic/_al_u4263_o }),
.b({\u_logic/Zt1iu6 ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/F7jbx6 ,\u_logic/Vr1iu6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/J5jbx6 ,\u_logic/Owcax6 }),
.e({open_n96466,\u_logic/Ue9ax6 }),
.mi({\u_logic/Z54iu6 ,\u_logic/Ym4iu6 }),
.f({\u_logic/_al_u3173_o ,\u_logic/_al_u4264_o }),
.q({\u_logic/Owcax6 ,\u_logic/Tcjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20188)
// ../rtl/topmodule/cortexm0ds_logic.v(18963)
// ../rtl/topmodule/cortexm0ds_logic.v(19844)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ox9bx6_reg|u_logic/Thxax6_reg (
.c({\u_logic/Jx1bx6 ,\u_logic/Jj0bx6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Ih0bx6 ,\u_logic/Dt1bx6 }),
.mi({HWDATA[6],HWDATA[7]}),
.f({\u_logic/_al_u4050_o ,\u_logic/_al_u4049_o }),
.q({\u_logic/Ox9bx6 ,\u_logic/Thxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18963)
// ../rtl/topmodule/cortexm0ds_logic.v(17883)
// ../rtl/topmodule/cortexm0ds_logic.v(18711)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Oykax6_reg|u_logic/Plypw6_reg (
.a({\u_logic/_al_u1159_o ,\u_logic/Ljqow6 }),
.b({\u_logic/_al_u1160_o ,\u_logic/Gkqow6 }),
.c({\u_logic/Yfqow6 ,\u_logic/vis_r8_o[2] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[0] ,\u_logic/vis_r11_o[2] }),
.mi({\u_logic/Tx8iu6 ,\u_logic/Uoliu6 }),
.f({\u_logic/_al_u1161_o ,\u_logic/_al_u1159_o }),
.q({\u_logic/vis_r5_o[0] ,\u_logic/vis_r5_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(17883)
// ../rtl/topmodule/cortexm0ds_logic.v(18857)
// ../rtl/topmodule/cortexm0ds_logic.v(18858)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Oyrax6_reg|u_logic/Owrax6_reg (
.a({\u_logic/_al_u742_o ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_msp_o[21] ,\u_logic/vis_r8_o[27] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[23] ,\u_logic/vis_r10_o[27] }),
.mi({\u_logic/D4miu6 ,\u_logic/E1miu6 }),
.f({\u_logic/_al_u820_o ,\u_logic/_al_u849_o }),
.q({\u_logic/vis_r8_o[19] ,\u_logic/vis_r8_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(18857)
// ../rtl/topmodule/cortexm0ds_logic.v(20002)
// ../rtl/topmodule/cortexm0ds_logic.v(17529)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ozopw6_reg|u_logic/Txebx6_reg (
.a({\u_logic/_al_u862_o ,\u_logic/_al_u1376_o }),
.b({\u_logic/_al_u863_o ,\u_logic/Ljqow6 }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/Fgqow6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[29] ,\u_logic/vis_r11_o[27] }),
.e({open_n96537,\u_logic/vis_msp_o[25] }),
.mi({\u_logic/E1miu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u864_o ,\u_logic/_al_u1377_o }),
.q({\u_logic/vis_r9_o[20] ,\u_logic/vis_r9_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20002)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111010000110000),
.INIT_LUT1(16'b1111111010111010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/P23qw6_reg (
.a({\u_logic/Oa4iu6 ,\u_logic/Oa4iu6 }),
.b({\u_logic/n394 ,\u_logic/n394 }),
.c({\u_logic/L03qw6 ,\u_logic/L03qw6 }),
.clk(clk_pad),
.d({\u_logic/P23qw6 ,\u_logic/P23qw6 }),
.mi({open_n96565,\u_logic/Vrkbx6 [4]}),
.q({open_n96572,\u_logic/P23qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18016)
// ../rtl/topmodule/cortexm0ds_logic.v(17693)
// ../rtl/topmodule/cortexm0ds_logic.v(18062)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/P34qw6_reg|u_logic/Xxtpw6_reg (
.a({\u_logic/_al_u771_o ,\u_logic/Qiqow6 }),
.b({\u_logic/_al_u772_o ,\u_logic/Sjqow6 }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/vis_r9_o[16] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[16] ,\u_logic/vis_r10_o[16] }),
.mi({\u_logic/Vx9iu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u773_o ,\u_logic/_al_u1412_o }),
.q({\u_logic/vis_r9_o[23] ,\u_logic/vis_r9_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(17693)
// ../rtl/topmodule/cortexm0ds_logic.v(19806)
// ../rtl/topmodule/cortexm0ds_logic.v(18253)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~0*~C)*(D@B))"),
//.LUTF1("((D@B)*(C@A))"),
//.LUTG0("(~A*~(~1*~C)*(D@B))"),
//.LUTG1("((D@B)*(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000001000000),
.INIT_LUTF1(16'b0001001001001000),
.INIT_LUTG0(16'b0001000101000100),
.INIT_LUTG1(16'b0001001001001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/P4cax6_reg|u_logic/Rz8bx6_reg (
.a({\u_logic/_al_u2485_o ,\u_logic/_al_u3532_o }),
.b({\u_logic/_al_u2525_o ,\u_logic/_al_u2517_o }),
.c({\u_logic/Erbbx6 ,\u_logic/_al_u2529_o }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Vzdax6 ,\u_logic/Cxcbx6 }),
.e({open_n96587,\u_logic/Rz8bx6 }),
.mi({\u_logic/E54iu6 ,\u_logic/Q44iu6 }),
.f({\u_logic/_al_u3595_o ,\u_logic/_al_u3533_o }),
.q({\u_logic/P4cax6 ,\u_logic/Rz8bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19806)
// ../rtl/topmodule/cortexm0ds_logic.v(17694)
// ../rtl/topmodule/cortexm0ds_logic.v(18063)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*A*~(0*D))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*~B*A*~(1*D))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000000100000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/P54qw6_reg|u_logic/Xztpw6_reg (
.a({\u_logic/Hhqow6 ,\u_logic/_al_u1344_o }),
.b({\u_logic/Ahqow6 ,\u_logic/_al_u1345_o }),
.c({\u_logic/vis_r12_o[23] ,\u_logic/Zxxow6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[23] ,\u_logic/Yfqow6 }),
.e({open_n96604,\u_logic/vis_psp_o[21] }),
.mi({\u_logic/Vx9iu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/Zxxow6 ,\u_logic/_al_u1347_o }),
.q({\u_logic/vis_r11_o[23] ,\u_logic/vis_r11_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(17694)
// ../rtl/topmodule/cortexm0ds_logic.v(18222)
// ../rtl/topmodule/cortexm0ds_logic.v(18221)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("((D@B)*(C@A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("((D@B)*(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001001001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001001001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/P9bax6_reg|u_logic/Lbbax6_reg (
.a({\u_logic/_al_u2481_o ,\u_logic/Xs1iu6 }),
.b({\u_logic/_al_u2549_o ,\u_logic/Vr1iu6 }),
.c({\u_logic/Aoeax6 ,\u_logic/K5hbx6 }),
.ce(\u_logic/n532 ),
.clk(clk_pad),
.d({\u_logic/Hi9bx6 ,\u_logic/N3hbx6 }),
.mi({\u_logic/Df4iu6 ,\u_logic/H34iu6 }),
.f({\u_logic/_al_u3564_o ,\u_logic/_al_u4444_o }),
.q({\u_logic/P9bax6 ,\u_logic/Lbbax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18222)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pbbbx6_reg (
.a({\u_logic/_al_u2485_o ,\u_logic/_al_u2485_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/E8miu6 ,\u_logic/E8miu6 }),
.mi({open_n96650,\u_logic/vis_pc_o[16] }),
.q({open_n96657,\u_logic/Pbbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19929)
// ../rtl/topmodule/cortexm0ds_logic.v(18780)
// ../rtl/topmodule/cortexm0ds_logic.v(18774)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100000101010),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pbnax6_reg|u_logic/Nnnax6_reg (
.a({\u_logic/Dfqow6 ,\u_logic/_al_u3651_o }),
.b({\u_logic/Hhqow6 ,\u_logic/Y1qow6 }),
.c({\u_logic/vis_r12_o[8] ,\u_logic/O70iu6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/N61qw6 ,\u_logic/A70iu6 }),
.mi({\u_logic/Sokiu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u1145_o ,\u_logic/_al_u3705_o }),
.q({\u_logic/vis_r14_o[6] ,\u_logic/vis_r14_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(18780)
// ../rtl/topmodule/cortexm0ds_logic.v(20012)
// ../rtl/topmodule/cortexm0ds_logic.v(17835)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pcxpw6_reg|u_logic/Thfbx6_reg (
.a({\u_logic/_al_u801_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u802_o ,\u_logic/_al_u745_o }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/vis_r14_o[17] }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[20] ,\u_logic/vis_psp_o[15] }),
.mi({\u_logic/C7miu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u803_o ,\u_logic/_al_u781_o }),
.q({\u_logic/vis_psp_o[16] ,\u_logic/vis_psp_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(20012)
// ../rtl/topmodule/cortexm0ds_logic.v(18849)
// ../rtl/topmodule/cortexm0ds_logic.v(17421)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pdmpw6_reg|u_logic/Rgrax6_reg (
.a({\u_logic/_al_u742_o ,\u_logic/_al_u1167_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r9_o[4] ,\u_logic/Yfqow6 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[2] ,\u_logic/vis_psp_o[1] }),
.e({open_n96690,\u_logic/vis_msp_o[1] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u897_o ,\u_logic/_al_u1168_o }),
.q({\u_logic/vis_r8_o[3] ,\u_logic/vis_r8_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18849)
// ../rtl/topmodule/cortexm0ds_logic.v(18189)
// ../rtl/topmodule/cortexm0ds_logic.v(19814)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("~((D*C)@(B*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("~((D*C)@(B*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b1000011101110111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b1000011101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pe9bx6_reg|u_logic/Rnaax6_reg (
.a({\u_logic/My0iu6 ,\u_logic/_al_u3050_o }),
.b({\u_logic/_al_u3452_o ,\u_logic/Dw1iu6 }),
.c({\u_logic/J71iu6_lutinv ,\u_logic/Iv1iu6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/D1aax6 ,\u_logic/vis_pc_o[25] }),
.e({open_n96707,\u_logic/Wfcbx6 }),
.mi({\u_logic/Xi4iu6 ,\u_logic/Gk4iu6 }),
.f({\u_logic/_al_u3453_o ,\u_logic/_al_u3051_o }),
.q({\u_logic/Pe9bx6 ,\u_logic/Rnaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18189)
// ../rtl/topmodule/cortexm0ds_logic.v(17926)
// ../rtl/topmodule/cortexm0ds_logic.v(20189)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pejbx6_reg|u_logic/So0qw6_reg (
.a({\u_logic/_al_u926_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u927_o ,\u_logic/_al_u745_o }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/vis_psp_o[28] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[8] ,\u_logic/vis_r14_o[30] }),
.mi({\u_logic/Gfniu6 ,\u_logic/X6niu6 }),
.f({\u_logic/_al_u928_o ,\u_logic/_al_u872_o }),
.q({\u_logic/vis_msp_o[26] ,\u_logic/vis_msp_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17926)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111010000110000),
.INIT_LUT1(16'b1111111010111010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pg3qw6_reg (
.a({\u_logic/Oa4iu6 ,\u_logic/Oa4iu6 }),
.b({\u_logic/n394 ,\u_logic/n394 }),
.c({\u_logic/P93qw6 ,\u_logic/P93qw6 }),
.clk(clk_pad),
.d({\u_logic/Pg3qw6 ,\u_logic/Pg3qw6 }),
.mi({open_n96749,\u_logic/Vrkbx6 [5]}),
.q({open_n96756,\u_logic/Pg3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18034)
// ../rtl/topmodule/cortexm0ds_logic.v(18360)
// ../rtl/topmodule/cortexm0ds_logic.v(18359)
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(A)*~(B)*~(D)+A*B*D))"),
//.LUTF1("~(~C*~B*~D)"),
//.LUTG0("(C*(~(A)*~(B)*~(D)+A*B*D))"),
//.LUTG1("~(~C*~B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000010000),
.INIT_LUTF1(16'b1111111111111100),
.INIT_LUTG0(16'b1000000000010000),
.INIT_LUTG1(16'b1111111111111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pifax6_reg|u_logic/Okfax6_reg (
.a({open_n96757,\u_logic/D2opw6 }),
.b({\u_logic/Yzlpw6 ,\u_logic/Yzlpw6 }),
.c({\u_logic/Zgfax6 ,\u_logic/Z73qw6 }),
.ce(\u_logic/n327 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/D2opw6 ,\u_logic/Zgfax6 }),
.q({\u_logic/Pifax6 ,\u_logic/Okfax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18360)
// ../rtl/topmodule/cortexm0ds_logic.v(18220)
// ../rtl/topmodule/cortexm0ds_logic.v(20255)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pkkbx6_reg|u_logic/T7bax6_reg (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/_al_u3185_o }),
.b({\u_logic/Dw1iu6 ,\u_logic/Zt1iu6 }),
.c({\u_logic/Fo9ax6 ,\u_logic/Vr1iu6 }),
.ce(\u_logic/n532 ),
.clk(clk_pad),
.d({\u_logic/Kxeax6 ,\u_logic/Vlaax6 }),
.e({open_n96780,\u_logic/Y5dax6 }),
.mi({\u_logic/T24iu6 ,\u_logic/Ud4iu6 }),
.f({\u_logic/_al_u3189_o ,\u_logic/_al_u3186_o }),
.q({\u_logic/Pkkbx6 ,\u_logic/T7bax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18220)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*~(B*~(D*~C))))"),
//.LUTF1("~(~B*~A*~(D*C))"),
//.LUTG0("(A*~(1*~(B*~(D*~C))))"),
//.LUTG1("~(~B*~A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1111111011101110),
.INIT_LUTG0(16'b1000000010001000),
.INIT_LUTG1(16'b1111111011101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Pmlpw6_reg|u_logic/_al_u1868 (
.a({\u_logic/_al_u1052_o ,\u_logic/_al_u1420_o }),
.b({\u_logic/_al_u1055_o ,\u_logic/Golpw6 }),
.c({\u_logic/_al_u1050_o ,\u_logic/Krlpw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Rilpw6 ,\u_logic/Vplpw6 }),
.e({open_n96798,\u_logic/Y8lpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({open_n96813,\u_logic/_al_u1868_o }),
.q({\u_logic/Pmlpw6 ,open_n96817})); // ../rtl/topmodule/cortexm0ds_logic.v(17377)
// ../rtl/topmodule/cortexm0ds_logic.v(17455)
// ../rtl/topmodule/cortexm0ds_logic.v(18710)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pwkax6_reg|u_logic/Efnpw6_reg (
.a({\u_logic/_al_u1400_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Bxzhu6 ,\u_logic/_al_u745_o }),
.c({\u_logic/Ahqow6 ,\u_logic/vis_psp_o[29] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[31] ,\u_logic/vis_r14_o[31] }),
.mi({\u_logic/Tx8iu6 ,\u_logic/D39iu6 }),
.f({\u_logic/_al_u1401_o ,\u_logic/_al_u893_o }),
.q({\u_logic/vis_r11_o[0] ,\u_logic/vis_r11_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(17455)
EG_PHY_MSLICE #(
//.LUT0("~(~A*(~(B)*C*~(D)*~(0)+B*C*~(D)*~(0)+~(B)*~(C)*D*~(0)+B*~(C)*D*~(0)+B*C*D*~(0)+~(B)*C*~(D)*0+B*C*~(D)*0+B*C*D*0))"),
//.LUT1("~(~A*(~(B)*C*~(D)*~(1)+B*C*~(D)*~(1)+~(B)*~(C)*D*~(1)+B*~(C)*D*~(1)+B*C*D*~(1)+~(B)*C*~(D)*1+B*C*~(D)*1+B*C*D*1))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011101010101111),
.INIT_LUT1(16'b1011111110101111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Pzkpw6_reg (
.a({\u_logic/_al_u4797_o ,\u_logic/_al_u4797_o }),
.b({\u_logic/_al_u4627_o ,\u_logic/_al_u4627_o }),
.c({\u_logic/_al_u4798_o ,\u_logic/_al_u4798_o }),
.ce(\u_logic/Nn8iu6 ),
.clk(clk_pad),
.d({\u_logic/U6qow6_lutinv ,\u_logic/U6qow6_lutinv }),
.mi({open_n96842,\u_logic/Tgkbx6 }),
.sr(cpuresetn),
.q({open_n96848,\u_logic/vis_tbit_o })); // ../rtl/topmodule/cortexm0ds_logic.v(17319)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Q01qw6_reg (
.a({open_n96849,\u_logic/_al_u857_o }),
.b({open_n96850,\u_logic/_al_u858_o }),
.c({open_n96851,\u_logic/Gq4ju6_lutinv }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({open_n96852,\u_logic/vis_r12_o[28] }),
.mi({open_n96863,\u_logic/X6niu6 }),
.f({open_n96865,\u_logic/_al_u859_o }),
.q({open_n96869,\u_logic/vis_r11_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(17932)
// ../rtl/topmodule/cortexm0ds_logic.v(17224)
// ../rtl/topmodule/cortexm0ds_logic.v(17420)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qbmpw6_reg|u_logic/Vuipw6_reg (
.a({\u_logic/_al_u921_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u922_o ,\u_logic/_al_u745_o }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/vis_psp_o[2] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[5] ,\u_logic/vis_r14_o[4] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u923_o ,\u_logic/_al_u900_o }),
.q({\u_logic/vis_r11_o[3] ,\u_logic/vis_r11_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(17224)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111010000110000),
.INIT_LUT1(16'b1111111010111010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qehbx6_reg (
.a({\u_logic/Oa4iu6 ,\u_logic/Oa4iu6 }),
.b({\u_logic/n394 ,\u_logic/n394 }),
.c({\u_logic/I4rpw6 ,\u_logic/I4rpw6 }),
.clk(clk_pad),
.d({\u_logic/Qehbx6 ,\u_logic/Qehbx6 }),
.mi({open_n96895,\u_logic/Vrkbx6 [1]}),
.q({open_n96902,\u_logic/Qehbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20107)
// ../rtl/topmodule/cortexm0ds_logic.v(20078)
// ../rtl/topmodule/cortexm0ds_logic.v(19620)
EG_PHY_LSLICE #(
//.LUTF0("(~D*A*(C*~(0)*~(B)+C*0*~(B)+~(C)*0*B+C*0*B))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(~D*A*(C*~(1)*~(B)+C*1*~(B)+~(C)*1*B+C*1*B))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0000000010101000),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Qf4bx6_reg|u_logic/Rlgbx6_reg (
.a({\u_logic/_al_u3097_o ,\u_logic/_al_u1931_o }),
.b({\u_logic/Hqgiu6 ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/Yvgiu6 ,\u_logic/Mfyax6 }),
.ce(\u_logic/n1115 ),
.clk(clk_pad),
.d({\u_logic/F9gbx6 ,\u_logic/vis_ipsr_o[1] }),
.e({\u_logic/Vpgbx6 ,\u_logic/Qjyax6 }),
.mi({HWDATA[30],HWDATA[22]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3098_o ,\u_logic/_al_u2244_o }),
.q({\u_logic/Qf4bx6 ,\u_logic/Rlgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20078)
// ../rtl/topmodule/cortexm0ds_logic.v(18272)
// ../rtl/topmodule/cortexm0ds_logic.v(19952)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(B*~A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qjcbx6_reg|u_logic/Rucax6_reg (
.a({\u_logic/_al_u2979_o ,\u_logic/_al_u4278_o }),
.b({\u_logic/Vytiu6 ,\u_logic/Dw1iu6 }),
.c({\u_logic/Vr1iu6 ,\u_logic/Zt1iu6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Rucax6 ,\u_logic/Hpbbx6 }),
.e({open_n96918,\u_logic/Nlbbx6 }),
.mi({\u_logic/R84iu6 ,\u_logic/N64iu6 }),
.f({\u_logic/_al_u3145_o ,\u_logic/_al_u4279_o }),
.q({\u_logic/Qjcbx6 ,\u_logic/Rucax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18272)
// ../rtl/topmodule/cortexm0ds_logic.v(17685)
// ../rtl/topmodule/cortexm0ds_logic.v(17882)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qjypw6_reg|u_logic/Xhtpw6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u1129_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Dfqow6 }),
.c({\u_logic/vis_r14_o[1] ,\u_logic/Sjqow6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[1] ,\u_logic/vis_r10_o[0] }),
.e({open_n96935,\u_logic/I1lpw6 }),
.mi({\u_logic/Uoliu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u698_o ,\u_logic/_al_u1133_o }),
.q({\u_logic/vis_r11_o[1] ,\u_logic/vis_r11_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(17685)
// ../rtl/topmodule/cortexm0ds_logic.v(18866)
// ../rtl/topmodule/cortexm0ds_logic.v(18851)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qkrax6_reg|u_logic/Oesax6_reg (
.a({\u_logic/_al_u742_o ,\u_logic/_al_u1382_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Ahqow6 }),
.c({\u_logic/vis_r9_o[30] ,\u_logic/Sjqow6 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[28] ,\u_logic/vis_r10_o[28] }),
.e({open_n96952,\u_logic/vis_r14_o[28] }),
.mi({\u_logic/K39iu6 ,\u_logic/K3niu6 }),
.f({\u_logic/_al_u869_o ,\u_logic/_al_u1383_o }),
.q({\u_logic/vis_r8_o[30] ,\u_logic/vis_r8_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(18866)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qlopw6_reg (
.a({open_n96969,\u_logic/_al_u544_o }),
.b({open_n96970,\u_logic/_al_u545_o }),
.c({open_n96971,\u_logic/_al_u546_o }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({open_n96972,\u_logic/_al_u547_o }),
.mi({open_n96983,\u_logic/K39iu6 }),
.f({open_n96985,\u_logic/Mzzhu6 }),
.q({open_n96989,\u_logic/vis_r9_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(17522)
// ../rtl/topmodule/cortexm0ds_logic.v(18854)
// ../rtl/topmodule/cortexm0ds_logic.v(18852)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qmrax6_reg|u_logic/Pqrax6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/_al_u676_o }),
.b({\u_logic/Gkqow6 ,\u_logic/Rs4ju6_lutinv }),
.c({\u_logic/vis_r8_o[1] ,\u_logic/Wr4ju6_lutinv }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[1] ,\u_logic/vis_r10_o[0] }),
.e({open_n96990,\u_logic/vis_r8_o[0] }),
.mi({\u_logic/D39iu6 ,\u_logic/Uoliu6 }),
.f({\u_logic/_al_u1201_o ,\u_logic/_al_u679_o }),
.q({\u_logic/vis_r8_o[31] ,\u_logic/vis_r8_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(18854)
// ../rtl/topmodule/cortexm0ds_logic.v(17684)
// ../rtl/topmodule/cortexm0ds_logic.v(17523)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qnopw6_reg|u_logic/Yftpw6_reg (
.a({\u_logic/_al_u892_o ,\u_logic/_al_u696_o }),
.b({\u_logic/_al_u893_o ,\u_logic/Gq4ju6_lutinv }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/Wr4ju6_lutinv }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[31] ,\u_logic/vis_r12_o[1] }),
.e({open_n97007,\u_logic/vis_r10_o[1] }),
.mi({\u_logic/D39iu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u894_o ,\u_logic/_al_u697_o }),
.q({\u_logic/vis_r9_o[31] ,\u_logic/vis_r9_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(17684)
// ../rtl/topmodule/cortexm0ds_logic.v(17521)
// ../rtl/topmodule/cortexm0ds_logic.v(17524)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qpopw6_reg|u_logic/Rjopw6_reg (
.a({\u_logic/_al_u1174_o ,\u_logic/_al_u1194_o }),
.b({\u_logic/_al_u1175_o ,\u_logic/Fgqow6 }),
.c({\u_logic/Yfqow6 ,\u_logic/Yfqow6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[2] ,\u_logic/vis_psp_o[5] }),
.e({open_n97024,\u_logic/vis_msp_o[5] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u1176_o ,\u_logic/_al_u1195_o }),
.q({\u_logic/vis_r9_o[3] ,\u_logic/vis_r9_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(17521)
EG_PHY_LSLICE #(
//.LUTF0("(~((~B*~A))*C*D*~(0)+~((~B*~A))*~(C)*~(D)*0+~((~B*~A))*C*~(D)*0+(~B*~A)*C*~(D)*0+~((~B*~A))*~(C)*D*0+(~B*~A)*~(C)*D*0+~((~B*~A))*C*D*0+(~B*~A)*C*D*0)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~((~B*~A))*C*D*~(1)+~((~B*~A))*~(C)*~(D)*1+~((~B*~A))*C*~(D)*1+(~B*~A)*C*~(D)*1+~((~B*~A))*~(C)*D*1+(~B*~A)*~(C)*D*1+~((~B*~A))*C*D*1+(~B*~A)*C*D*1)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110000000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111111111111110),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Qufax6_reg|u_logic/_al_u2247 (
.a({open_n97041,\u_logic/vis_ipsr_o[2] }),
.b({open_n97042,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/vis_ipsr_o[5] ,\u_logic/vis_ipsr_o[1] }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/vis_ipsr_o[4] ,\u_logic/vis_ipsr_o[3] }),
.e({open_n97044,\u_logic/vis_ipsr_o[5] }),
.mi({\u_logic/Qsfax6 ,open_n97046}),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/H9row6_lutinv ,\u_logic/_al_u2247_o }),
.q({\u_logic/Qufax6 ,open_n97061})); // ../rtl/topmodule/cortexm0ds_logic.v(18381)
// ../rtl/topmodule/cortexm0ds_logic.v(17881)
// ../rtl/topmodule/cortexm0ds_logic.v(18709)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qukax6_reg|u_logic/Rhypw6_reg (
.a({\u_logic/Gq4ju6_lutinv ,\u_logic/_al_u1199_o }),
.b({\u_logic/Jo4ju6_lutinv ,\u_logic/Dfqow6 }),
.c({\u_logic/vis_r12_o[0] ,\u_logic/Sjqow6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[0] ,\u_logic/Nu5bx6 }),
.e({open_n97062,\u_logic/vis_r10_o[1] }),
.mi({\u_logic/Tx8iu6 ,\u_logic/Uoliu6 }),
.f({\u_logic/Ss9pw6 ,\u_logic/_al_u1200_o }),
.q({\u_logic/vis_r9_o[0] ,\u_logic/vis_r9_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(17881)
// ../rtl/topmodule/cortexm0ds_logic.v(18924)
// ../rtl/topmodule/cortexm0ds_logic.v(18929)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qvvax6_reg|u_logic/Slvax6_reg (
.a({\u_logic/Xpqow6 ,\u_logic/C7now6_lutinv }),
.b({\u_logic/Voqow6 ,\u_logic/U9now6_lutinv }),
.c({\u_logic/vis_r3_o[1] ,\u_logic/vis_r0_o[5] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[1] ,\u_logic/vis_r6_o[5] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u508_o ,\u_logic/_al_u360_o }),
.q({\u_logic/vis_r7_o[3] ,\u_logic/vis_r7_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18924)
// ../rtl/topmodule/cortexm0ds_logic.v(17175)
// ../rtl/topmodule/cortexm0ds_logic.v(18387)
EG_PHY_LSLICE #(
//.LUTF0("(D*A*~(C*~(0*B)))"),
//.LUTF1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("(D*A*~(C*~(1*B)))"),
//.LUTG1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101000000000),
.INIT_LUTF1(16'b0010001111101111),
.INIT_LUTG0(16'b1000101000000000),
.INIT_LUTG1(16'b0010001111101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Qwfax6_reg|u_logic/T0ipw6_reg (
.a({\u_logic/_al_u633_o ,\u_logic/Cl1iu6 }),
.b({\u_logic/_al_u657_o ,\u_logic/Cjqpw6 }),
.c({\u_logic/L5lpw6 ,\u_logic/Ehqpw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/W6ipw6 ,\u_logic/T0ipw6 }),
.e({open_n97094,CDBGPWRUPREQ}),
.mi({\u_logic/Qufax6 ,\u_logic/Nyhpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/Im3iu6 ,\u_logic/_al_u1023_o }),
.q({\u_logic/Qwfax6 ,\u_logic/T0ipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17175)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~B*~A*~(0*C))"),
//.LUT1("~(~D*~B*~A*~(1*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111101110),
.INIT_LUT1(16'b1111111111111110),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qwfbx6_reg (
.a({\u_logic/_al_u3056_o ,\u_logic/_al_u3056_o }),
.b({\u_logic/_al_u3105_o ,\u_logic/_al_u3105_o }),
.c({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u3106_o ,\u_logic/_al_u3106_o }),
.mi({open_n97121,\u_logic/Bvfbx6 }),
.q({open_n97128,\u_logic/Qwfbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20020)
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*~B*A)"),
//.LUT1("(~1*~D*~C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Qynpw6_reg (
.a({\u_logic/_al_u53_o ,\u_logic/_al_u53_o }),
.b({\u_logic/C72qw6 ,\u_logic/C72qw6 }),
.c({\u_logic/J4cbx6 ,\u_logic/J4cbx6 }),
.ce(\u_logic/n327 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Kn2qw6 ,\u_logic/Kn2qw6 }),
.mi({open_n97139,\u_logic/Nfqpw6 }),
.sr(\u_logic/Kxhpw6 ),
.q({open_n97145,\u_logic/Qynpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17481)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(0*~D)*~(C*~A))"),
//.LUT1("~(B*~(1*~D)*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101110011),
.INIT_LUT1(16'b0111001111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/R2hax6_reg (
.a({\u_logic/_al_u4703_o ,\u_logic/_al_u4703_o }),
.b({\u_logic/_al_u4806_o ,\u_logic/_al_u4806_o }),
.c({\u_logic/Zgziu6_lutinv ,\u_logic/Zgziu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/_al_u2647_o ,\u_logic/_al_u2647_o }),
.mi({open_n97157,\u_logic/vis_pc_o[25] }),
.sr(cpuresetn),
.q({open_n97163,\u_logic/vis_pc_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(18450)
EG_PHY_MSLICE #(
//.LUT0("(~(~0*~D)*~(B*~(C*~A)))"),
//.LUT1("(~(~1*~D)*~(B*~(C*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001100000000),
.INIT_LUT1(16'b0111001101110011),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/R3vpw6_reg (
.a({\u_logic/_al_u2666_o ,\u_logic/_al_u2666_o }),
.b({\u_logic/_al_u2696_o ,\u_logic/_al_u2696_o }),
.c({\u_logic/_al_u162_o ,\u_logic/_al_u162_o }),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.mi({open_n97175,\u_logic/R3vpw6 }),
.sr(cpuresetn),
.q({open_n97181,\u_logic/R3vpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17750)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(~B*~(0@D@C)))"),
//.LUT1("~(A*~(~B*~(1@D@C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111010101010111),
.INIT_LUT1(16'b0101011101110101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/R9mpw6_reg (
.a({\u_logic/_al_u1836_o ,\u_logic/_al_u1836_o }),
.b({\u_logic/_al_u1500_o ,\u_logic/_al_u1500_o }),
.c({\u_logic/W7biu6 ,\u_logic/W7biu6 }),
.ce(\u_logic/n1360 ),
.clk(clk_pad),
.d({\u_logic/Ewjiu6_lutinv ,\u_logic/Ewjiu6_lutinv }),
.mi({open_n97192,\u_logic/_al_u1840_o }),
.q({open_n97199,\u_logic/R9mpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17419)
// ../rtl/topmodule/cortexm0ds_logic.v(17861)
// ../rtl/topmodule/cortexm0ds_logic.v(18978)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(~D*~(B*~A)))"),
//.LUTF1("~(C*~(~D*~(B*~A)))"),
//.LUTG0("~(C*~(~D*~(B*~A)))"),
//.LUTG1("~(C*~(~D*~(B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111110111111),
.INIT_LUTF1(16'b0000111110111111),
.INIT_LUTG0(16'b0000111110111111),
.INIT_LUTG1(16'b0000111110111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/R9yax6_reg|u_logic/W5ypw6_reg (
.a({\u_logic/_al_u4007_o ,\u_logic/_al_u4007_o }),
.b({\u_logic/_al_u4014_o ,\u_logic/_al_u4014_o }),
.c({\u_logic/_al_u4019_o ,\u_logic/_al_u4015_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2968_o ,\u_logic/_al_u2968_o }),
.sr(cpuresetn),
.q({\u_logic/R9yax6 ,\u_logic/W5ypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17861)
// ../rtl/topmodule/cortexm0ds_logic.v(17493)
// ../rtl/topmodule/cortexm0ds_logic.v(17971)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*~B)*~(C*A))"),
//.LUTF1("(~D*~(C*~B))"),
//.LUTG0("(~(D*~B)*~(C*A))"),
//.LUTG1("(~D*~(C*~B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100110001011111),
.INIT_LUTF1(16'b0000000011001111),
.INIT_LUTG0(16'b0100110001011111),
.INIT_LUTG1(16'b0000000011001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ra2qw6_reg|u_logic/T3opw6_reg (
.a({open_n97222,\u_logic/Qwpiu6_lutinv }),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/Rr3qw6 ,\u_logic/Tb3qw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u2975_o ,\u_logic/V53qw6 }),
.mi({\u_logic/C72qw6 ,\u_logic/D2opw6 }),
.f({\u_logic/_al_u3022_o ,\u_logic/Hnqiu6 }),
.q({\u_logic/Ra2qw6 ,\u_logic/T3opw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17493)
// ../rtl/topmodule/cortexm0ds_logic.v(17665)
// ../rtl/topmodule/cortexm0ds_logic.v(20169)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rbibx6_reg|u_logic/Yxspw6_reg (
.a({\u_logic/_al_u869_o ,\u_logic/_al_u1140_o }),
.b({\u_logic/_al_u870_o ,\u_logic/Ljqow6 }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/Qiqow6 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[30] ,\u_logic/vis_r11_o[8] }),
.e({open_n97241,\u_logic/vis_r9_o[8] }),
.mi({\u_logic/Gfniu6 ,\u_logic/Zvkiu6 }),
.f({\u_logic/_al_u871_o ,\u_logic/_al_u1141_o }),
.q({\u_logic/vis_r8_o[28] ,\u_logic/vis_r8_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(17665)
// ../rtl/topmodule/cortexm0ds_logic.v(17852)
// ../rtl/topmodule/cortexm0ds_logic.v(17297)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rdkpw6_reg|u_logic/Hqxpw6_reg (
.a({\u_logic/_al_u778_o ,\u_logic/_al_u1287_o }),
.b({\u_logic/_al_u779_o ,\u_logic/Ljqow6 }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/Gkqow6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[17] ,\u_logic/vis_r8_o[14] }),
.e({open_n97258,\u_logic/vis_r11_o[14] }),
.mi({\u_logic/Fyliu6 ,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u780_o ,\u_logic/_al_u1288_o }),
.q({\u_logic/vis_r11_o[21] ,\u_logic/vis_r11_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(17852)
// ../rtl/topmodule/cortexm0ds_logic.v(19092)
// ../rtl/topmodule/cortexm0ds_logic.v(19098)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Rezax6_reg|u_logic/Pczax6_reg (
.a({\u_logic/_al_u2231_o ,\u_logic/Odfiu6_lutinv }),
.b({\u_logic/_al_u2237_o ,\u_logic/Ajgiu6 }),
.c({\u_logic/_al_u2241_o ,\u_logic/Bcabx6 }),
.ce(\u_logic/n1113 ),
.clk(clk_pad),
.d({\u_logic/_al_u2245_o ,\u_logic/Wgipw6 }),
.mi(HWDATA[31:30]),
.sr(cpuresetn),
.f({\u_logic/Sbrow6 ,\u_logic/_al_u2194_o }),
.q({\u_logic/Rezax6 ,\u_logic/Pczax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19092)
// ../rtl/topmodule/cortexm0ds_logic.v(17659)
// ../rtl/topmodule/cortexm0ds_logic.v(18962)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("~(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b1100000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rfxax6_reg|u_logic/Wlspw6_reg (
.b({\u_logic/J8eiu6 ,\u_logic/J8eiu6 }),
.c({\u_logic/L6gpw6 [14],\u_logic/L6gpw6 [16]}),
.clk(clk_pad),
.d({\u_logic/_al_u1539_o ,\u_logic/_al_u1535_o }),
.q({\u_logic/Rfxax6 ,\u_logic/Wlspw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17659)
// ../rtl/topmodule/cortexm0ds_logic.v(18173)
// ../rtl/topmodule/cortexm0ds_logic.v(18166)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~B)*~(D*A))"),
//.LUTF1("((D@B)*~(C*A))"),
//.LUTG0("(~(~C*~B)*~(D*A))"),
//.LUTG1("((D@B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010011111100),
.INIT_LUTF1(16'b0001001101001100),
.INIT_LUTG0(16'b0101010011111100),
.INIT_LUTG1(16'b0001001101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rg9ax6_reg|u_logic/Tt9ax6_reg (
.a({\u_logic/_al_u2537_o ,\u_logic/_al_u2489_o }),
.b({\u_logic/_al_u2549_o ,\u_logic/_al_u2545_o }),
.c({\u_logic/Bwdax6 ,\u_logic/S2cax6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Dk9bx6 ,\u_logic/Yybax6 }),
.mi({\u_logic/S54iu6 ,\u_logic/H34iu6 }),
.f({\u_logic/_al_u3609_o ,\u_logic/_al_u3548_o }),
.q({\u_logic/Rg9ax6 ,\u_logic/Tt9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18173)
EG_PHY_MSLICE #(
//.LUT0("(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*~(D)*~(0)+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*~(0)+~(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))*D*0+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*0)"),
//.LUT1("(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*~(D)*~(1)+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*~(1)+~(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))*D*1+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011010100110101),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rhkpw6_reg (
.a({\u_logic/_al_u1185_o ,\u_logic/_al_u1185_o }),
.b({\u_logic/_al_u1334_o ,\u_logic/_al_u1334_o }),
.c({\u_logic/_al_u1307_o ,\u_logic/_al_u1307_o }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/I74iu6 ,\u_logic/I74iu6 }),
.mi({open_n97337,\u_logic/Wvgax6 }),
.fx({open_n97342,HWDATA[21]}),
.q({open_n97343,\u_logic/Rhkpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17299)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(~(~0*D)*A))"),
//.LUT1("(~(C*B)*~(~(~1*D)*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011111100010101),
.INIT_LUT1(16'b0001010100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Rijbx6_reg (
.a({\u_logic/O86iu6 ,\u_logic/O86iu6 }),
.b({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.c({\u_logic/Eegiu6_lutinv ,\u_logic/Eegiu6_lutinv }),
.clk(clk_pad),
.d({key_interrupt[5],key_interrupt[5]}),
.mi({open_n97355,\u_logic/Pgjbx6 }),
.sr(cpuresetn),
.fx({open_n97359,\u_logic/Uwdpw6 }),
.q({open_n97360,\u_logic/Rijbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20197)
// ../rtl/topmodule/cortexm0ds_logic.v(17633)
// ../rtl/topmodule/cortexm0ds_logic.v(19326)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Rk1bx6_reg|u_logic/Yxrpw6_reg (
.b({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.c({\u_logic/_al_u2034_o ,\u_logic/_al_u2106_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2033_o ,\u_logic/_al_u2105_o }),
.sr(cpuresetn),
.f({\u_logic/K2phu6 ,\u_logic/W1phu6 }),
.q({\u_logic/Rk1bx6 ,\u_logic/Yxrpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17633)
// ../rtl/topmodule/cortexm0ds_logic.v(18926)
// ../rtl/topmodule/cortexm0ds_logic.v(20175)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rnibx6_reg|u_logic/Rpvax6_reg (
.a({\u_logic/Xpqow6 ,\u_logic/Dmqow6 }),
.b({\u_logic/Fnqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r3_o[6] ,\u_logic/vis_r7_o[6] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[6] ,\u_logic/vis_r2_o[6] }),
.mi({\u_logic/Gfniu6 ,\u_logic/K39iu6 }),
.f({\u_logic/_al_u479_o ,\u_logic/_al_u478_o }),
.q({\u_logic/vis_r7_o[28] ,\u_logic/vis_r7_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(18926)
// ../rtl/topmodule/cortexm0ds_logic.v(20179)
// ../rtl/topmodule/cortexm0ds_logic.v(20176)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0000100000101010),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000100000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rpibx6_reg|u_logic/Rvibx6_reg (
.a({\u_logic/_al_u3651_o ,\u_logic/_al_u1392_o }),
.b({\u_logic/Y1qow6 ,\u_logic/Ixzhu6 }),
.c({\u_logic/A70iu6 ,\u_logic/_al_u1393_o }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/M60iu6 ,\u_logic/Dfqow6 }),
.e({open_n97397,\u_logic/F6dbx6 }),
.mi({\u_logic/Gfniu6 ,\u_logic/K3niu6 }),
.f({\u_logic/_al_u3666_o ,\u_logic/_al_u1394_o }),
.q({\u_logic/vis_r12_o[28] ,\u_logic/vis_r12_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(20179)
// ../rtl/topmodule/cortexm0ds_logic.v(20208)
// ../rtl/topmodule/cortexm0ds_logic.v(17927)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~A*~(D*C))"),
//.LUT1("~(~B*~A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111011101110),
.INIT_LUT1(16'b1111111011101110),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rq0qw6_reg|u_logic/Tmjbx6_reg (
.a({\u_logic/_al_u1153_o ,\u_logic/_al_u1209_o }),
.b({\u_logic/_al_u1154_o ,\u_logic/_al_u1210_o }),
.c({\u_logic/Pl4iu6 ,\u_logic/Ym4iu6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Wvgax6 ,\u_logic/Wvgax6 }),
.f({HWDATA[8],HWDATA[9]}),
.q({\u_logic/Rq0qw6 ,\u_logic/Tmjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20208)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(~D*C)*~(0*B))"),
//.LUT1("~(A*~(~D*C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101010111110101),
.INIT_LUT1(16'b1101110111111101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rr3qw6_reg (
.a({\u_logic/_al_u3022_o ,\u_logic/_al_u3022_o }),
.b({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.c({\u_logic/Wo1iu6 ,\u_logic/Wo1iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u3028_o ,\u_logic/_al_u3028_o }),
.mi({open_n97441,\u_logic/Cq3qw6 }),
.q({open_n97448,\u_logic/Rr3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18046)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(~B*~(0@D@C)))"),
//.LUT1("~(A*~(~B*~(1@D@C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111010101010111),
.INIT_LUT1(16'b0101011101110101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rskax6_reg (
.a({\u_logic/_al_u1879_o ,\u_logic/_al_u1879_o }),
.b({\u_logic/_al_u1500_o ,\u_logic/_al_u1500_o }),
.c({\u_logic/Zbjiu6 ,\u_logic/Zbjiu6 }),
.ce(\u_logic/n1360 ),
.clk(clk_pad),
.d({\u_logic/_al_u1883_o ,\u_logic/_al_u1883_o }),
.mi({open_n97459,\u_logic/Ncjiu6_lutinv }),
.q({open_n97466,\u_logic/Rskax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18708)
// ../rtl/topmodule/cortexm0ds_logic.v(18323)
// ../rtl/topmodule/cortexm0ds_logic.v(18320)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~(C*B)*(D@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0001010100101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rteax6_reg|u_logic/Gzeax6_reg (
.a({\u_logic/_al_u2460_o ,open_n97467}),
.b({\u_logic/_al_u2574_o ,open_n97468}),
.c({\u_logic/Jieax6 ,\u_logic/Dg2qw6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Rteax6 ,\u_logic/Sg7iu6 }),
.mi({\u_logic/X44iu6 ,\u_logic/Gk4iu6 }),
.f({\u_logic/_al_u3575_o ,\u_logic/n532 }),
.q({\u_logic/Rteax6 ,\u_logic/Gzeax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18323)
// ../rtl/topmodule/cortexm0ds_logic.v(18930)
// ../rtl/topmodule/cortexm0ds_logic.v(18928)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rtvax6_reg|u_logic/Pxvax6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/_al_u1396_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Ljqow6 }),
.c({\u_logic/vis_r10_o[2] ,\u_logic/Qiqow6 }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[2] ,\u_logic/vis_r11_o[31] }),
.e({open_n97483,\u_logic/vis_r9_o[31] }),
.mi({\u_logic/Tx8iu6 ,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u905_o ,\u_logic/_al_u1397_o }),
.q({\u_logic/vis_r7_o[0] ,\u_logic/vis_r7_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(18930)
// ../rtl/topmodule/cortexm0ds_logic.v(20170)
// ../rtl/topmodule/cortexm0ds_logic.v(17931)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ry0qw6_reg|u_logic/Rdibx6_reg (
.a({\u_logic/_al_u855_o ,\u_logic/_al_u745_o }),
.b({\u_logic/_al_u856_o ,\u_logic/Rs4ju6_lutinv }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/vis_r8_o[28] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[28] ,\u_logic/vis_psp_o[26] }),
.mi({\u_logic/X6niu6 ,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u857_o ,\u_logic/_al_u858_o }),
.q({\u_logic/vis_r9_o[8] ,\u_logic/vis_r9_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20170)
// ../rtl/topmodule/cortexm0ds_logic.v(20216)
// ../rtl/topmodule/cortexm0ds_logic.v(19266)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0111000011111000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0111000011111000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Rz0bx6_reg|u_logic/S0kbx6_reg (
.a({HWDATA[30],HWDATA[31]}),
.b({\u_logic/O59iu6_lutinv ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Rz0bx6 ,\u_logic/S0kbx6 }),
.clk(clk_pad),
.d({\u_logic/Ztupw6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.q({\u_logic/Rz0bx6 ,\u_logic/S0kbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20216)
// ../rtl/topmodule/cortexm0ds_logic.v(17832)
// ../rtl/topmodule/cortexm0ds_logic.v(18114)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/S18ax6_reg|u_logic/P6xpw6_reg (
.a({\u_logic/_al_u742_o ,\u_logic/_al_u1331_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_msp_o[15] ,\u_logic/Yfqow6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[17] ,\u_logic/vis_psp_o[19] }),
.e({open_n97536,\u_logic/vis_msp_o[19] }),
.mi({\u_logic/Rimiu6 ,\u_logic/C7miu6 }),
.f({\u_logic/_al_u778_o ,\u_logic/_al_u1332_o }),
.q({\u_logic/vis_r9_o[14] ,\u_logic/vis_r9_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(17832)
// ../rtl/topmodule/cortexm0ds_logic.v(20180)
// ../rtl/topmodule/cortexm0ds_logic.v(18769)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/S1nax6_reg|u_logic/Qxibx6_reg (
.a({\u_logic/Hhqow6 ,\u_logic/_al_u1384_o }),
.b({\u_logic/Ahqow6 ,\u_logic/Dyzhu6 }),
.c({\u_logic/vis_r14_o[9] ,\u_logic/_al_u1385_o }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[9] ,\u_logic/Hhqow6 }),
.e({open_n97553,\u_logic/vis_r12_o[28] }),
.mi({\u_logic/K39iu6 ,\u_logic/K3niu6 }),
.f({\u_logic/_al_u1207_o ,\u_logic/_al_u1386_o }),
.q({\u_logic/vis_r14_o[30] ,\u_logic/vis_r14_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(20180)
// ../rtl/topmodule/cortexm0ds_logic.v(20015)
// ../rtl/topmodule/cortexm0ds_logic.v(18252)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/S2cax6_reg|u_logic/Nnfbx6_reg (
.a({\u_logic/Sg7iu6 ,\u_logic/_al_u3083_o }),
.b({\u_logic/Jf7iu6 ,\u_logic/_al_u3085_o }),
.c({\u_logic/Lbbax6 ,\u_logic/St1iu6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Vibax6 ,HRDATA[22]}),
.mi({\u_logic/S54iu6 ,\u_logic/P74iu6 }),
.f({\u_logic/Ftqiu6 ,\u_logic/_al_u3086_o }),
.q({\u_logic/S2cax6 ,\u_logic/Nnfbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20015)
// ../rtl/topmodule/cortexm0ds_logic.v(20191)
// ../rtl/topmodule/cortexm0ds_logic.v(19380)
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(D*B))"),
//.LUTF1("(C*~A*~(D*B))"),
//.LUTG0("(C*~A*~(D*B))"),
//.LUTG1("(C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b0001000001010000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0001000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/S32bx6_reg|u_logic/Pgjbx6_reg (
.a({\u_logic/_al_u2351_o ,\u_logic/_al_u2351_o }),
.b({HWDATA[19],HWDATA[9]}),
.c({\u_logic/_al_u2428_o ,\u_logic/_al_u2398_o }),
.clk(clk_pad),
.d({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.sr(cpuresetn),
.q({\u_logic/S32bx6 ,\u_logic/Pgjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20191)
// ../rtl/topmodule/cortexm0ds_logic.v(17706)
// ../rtl/topmodule/cortexm0ds_logic.v(18115)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/S38ax6_reg|u_logic/Ydupw6_reg (
.a({\u_logic/_al_u745_o ,\u_logic/_al_u1271_o }),
.b({\u_logic/Rs4ju6_lutinv ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_psp_o[10] ,\u_logic/Yfqow6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[12] ,\u_logic/vis_psp_o[10] }),
.e({open_n97606,\u_logic/vis_msp_o[10] }),
.mi({\u_logic/Rimiu6 ,\u_logic/Bamiu6 }),
.f({\u_logic/_al_u746_o ,\u_logic/_al_u1272_o }),
.q({\u_logic/vis_r11_o[14] ,\u_logic/vis_r11_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(17706)
// ../rtl/topmodule/cortexm0ds_logic.v(18770)
// ../rtl/topmodule/cortexm0ds_logic.v(18771)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/S5nax6_reg|u_logic/S3nax6_reg (
.a({\u_logic/O00iu6 ,\u_logic/N30iu6 }),
.b({\u_logic/_al_u1200_o ,\u_logic/_al_u1133_o }),
.c({\u_logic/_al_u1201_o ,\u_logic/_al_u1136_o }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/Hhqow6 ,\u_logic/Hhqow6 }),
.e({\u_logic/vis_r12_o[1] ,\u_logic/vis_r12_o[0] }),
.mi({\u_logic/Tx8iu6 ,\u_logic/D39iu6 }),
.f({\u_logic/_al_u1202_o ,\u_logic/_al_u1138_o }),
.q({\u_logic/vis_r14_o[0] ,\u_logic/vis_r14_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18770)
// ../rtl/topmodule/cortexm0ds_logic.v(19798)
// ../rtl/topmodule/cortexm0ds_logic.v(18117)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/S78ax6_reg|u_logic/Zj8bx6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/Hhqow6 }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Ahqow6 }),
.c({\u_logic/vis_r14_o[12] ,\u_logic/vis_r12_o[17] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[12] ,\u_logic/vis_r14_o[17] }),
.mi({\u_logic/Rimiu6 ,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u741_o ,\u_logic/Pqzow6 }),
.q({\u_logic/vis_msp_o[12] ,\u_logic/vis_msp_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(19798)
// ../rtl/topmodule/cortexm0ds_logic.v(19722)
// ../rtl/topmodule/cortexm0ds_logic.v(18976)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/S7yax6_reg|u_logic/Nq5bx6_reg (
.a({open_n97657,\u_logic/_al_u742_o }),
.b({open_n97658,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_psp_o[29] ,\u_logic/vis_r9_o[31] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/Yfqow6 ,\u_logic/vis_msp_o[29] }),
.mi({\u_logic/Rkkiu6 ,\u_logic/Uoliu6 }),
.f({\u_logic/_al_u1398_o ,\u_logic/_al_u890_o }),
.q({\u_logic/vis_r3_o[5] ,\u_logic/vis_r3_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(19722)
EG_PHY_GCLK \u_logic/SWCLKTCK_pad_gclk_inst (
.clki(\u_logic/SWCLKTCK_pad ),
.clko(\u_logic/SWCLKTCK_pad_gclk_net ));
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Sb8ax6_reg (
.a({\u_logic/_al_u2541_o ,\u_logic/_al_u2541_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Ugmiu6 ,\u_logic/Ugmiu6 }),
.mi({open_n97684,\u_logic/vis_pc_o[13] }),
.q({open_n97691,\u_logic/Sb8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18119)
// ../rtl/topmodule/cortexm0ds_logic.v(18352)
// ../rtl/topmodule/cortexm0ds_logic.v(18346)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~B*A*~(D*C))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Sbfax6_reg|u_logic/Hdfax6_reg (
.a({\u_logic/U6wiu6 ,\u_logic/_al_u3062_o }),
.b({\u_logic/_al_u3024_o ,\u_logic/Cs1iu6 }),
.c({\u_logic/Zt1iu6 ,\u_logic/_al_u410_o }),
.ce(\u_logic/n524 ),
.clk(clk_pad),
.d({\u_logic/Tchbx6 ,\u_logic/Htbax6 }),
.e({open_n97692,\u_logic/Nj2qw6 }),
.mi({\u_logic/D84iu6 ,\u_logic/J44iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3025_o ,\u_logic/_al_u3063_o }),
.q({\u_logic/Sbfax6 ,\u_logic/Hdfax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18352)
// ../rtl/topmodule/cortexm0ds_logic.v(18853)
// ../rtl/topmodule/cortexm0ds_logic.v(18848)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Serax6_reg|u_logic/Qorax6_reg (
.a({\u_logic/_al_u1161_o ,\u_logic/Ahqow6 }),
.b({\u_logic/_al_u1162_o ,\u_logic/Qiqow6 }),
.c({\u_logic/Fgqow6 ,\u_logic/vis_r14_o[1] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[0] ,\u_logic/vis_r9_o[1] }),
.mi({\u_logic/Qcaiu6 ,\u_logic/Tx8iu6 }),
.f({\u_logic/_al_u1163_o ,\u_logic/_al_u1199_o }),
.q({\u_logic/vis_r8_o[2] ,\u_logic/vis_r8_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18853)
// ../rtl/topmodule/cortexm0ds_logic.v(18680)
// ../rtl/topmodule/cortexm0ds_logic.v(18652)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*C))"),
//.LUT1("~(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011101110111),
.INIT_LUT1(16'b1111011101110111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Skjax6_reg|u_logic/P0kax6_reg (
.a({\u_logic/_al_u2898_o ,\u_logic/_al_u2901_o }),
.b({\u_logic/Wkciu6 ,\u_logic/Sjbiu6 }),
.c({\u_logic/Uy4iu6 ,\u_logic/Uy4iu6 }),
.clk(clk_pad),
.d({\u_logic/Sijax6 ,\u_logic/Qyjax6 }),
.sr(cpuresetn),
.q({\u_logic/Skjax6 ,\u_logic/P0kax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18680)
// ../rtl/topmodule/cortexm0ds_logic.v(20048)
// ../rtl/topmodule/cortexm0ds_logic.v(19644)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C)*~(0*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~A*~(D*C)*~(1*B))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010101010101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Sn4bx6_reg|u_logic/Hbgbx6_reg (
.a({open_n97740,\u_logic/_al_u2282_o }),
.b({open_n97741,\u_logic/F0eow6 }),
.c({\u_logic/Pjyiu6 ,\u_logic/M0eow6 }),
.ce(\u_logic/n1110 ),
.clk(clk_pad),
.d({\u_logic/Cznow6 ,\u_logic/Hg3bx6 }),
.e({open_n97742,\u_logic/S0kbx6 }),
.mi({HWDATA[7],HWDATA[22]}),
.sr(cpuresetn),
.f({\u_logic/G2fiu6 ,\u_logic/_al_u2987_o }),
.q({\u_logic/Sn4bx6 ,\u_logic/Hbgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20048)
// ../rtl/topmodule/cortexm0ds_logic.v(17867)
// ../rtl/topmodule/cortexm0ds_logic.v(18659)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~C*~(0*D*B)))"),
//.LUTF1("~(B*A*~(D*C))"),
//.LUTG0("(A*~(~C*~(1*D*B)))"),
//.LUTG1("~(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000010100000),
.INIT_LUTF1(16'b1111011101110111),
.INIT_LUTG0(16'b1010100010100000),
.INIT_LUTG1(16'b1111011101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Sojax6_reg|u_logic/X7ypw6_reg (
.a({\u_logic/_al_u2895_o ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/Anciu6 ,\u_logic/R05iu6 }),
.c({\u_logic/Uy4iu6 ,\u_logic/_al_u4358_o }),
.clk(clk_pad),
.d({\u_logic/Smjax6 ,\u_logic/_al_u2571_o }),
.e({open_n97759,\u_logic/X7ypw6 }),
.sr(cpuresetn),
.q({\u_logic/Sojax6 ,\u_logic/X7ypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17867)
// ../rtl/topmodule/cortexm0ds_logic.v(18363)
// ../rtl/topmodule/cortexm0ds_logic.v(18369)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*(C*~B)*~(D)*~(0)+A*(C*~B)*~(D)*~(0)+A*~((C*~B))*D*~(0)+A*(C*~B)*D*~(0)+~(A)*~((C*~B))*~(D)*0+A*~((C*~B))*~(D)*0+~(A)*(C*~B)*~(D)*0+A*(C*~B)*~(D)*0)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*(C*~B)*~(D)*~(1)+A*(C*~B)*~(D)*~(1)+A*~((C*~B))*D*~(1)+A*(C*~B)*D*~(1)+~(A)*~((C*~B))*~(D)*1+A*~((C*~B))*~(D)*1+~(A)*(C*~B)*~(D)*1+A*(C*~B)*~(D)*1)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101000110000),
.INIT_LUTF1(16'b0000101001011100),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000101001011100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Sqfax6_reg|u_logic/Uofax6_reg (
.a({\u_logic/_al_u2914_o ,\u_logic/_al_u2914_o }),
.b({\u_logic/Iqzhu6_lutinv ,\u_logic/_al_u2916_o }),
.c({\u_logic/Sqfax6 ,\u_logic/Iqzhu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Uofax6 ,\u_logic/Sqfax6 }),
.e({open_n97780,\u_logic/Uofax6 }),
.sr(RSTn_pad),
.q({\u_logic/Sqfax6 ,\u_logic/Uofax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18363)
EG_PHY_MSLICE #(
//.LUT0("~(C*~B*A*~(~0*~D))"),
//.LUT1("~(C*~B*A*~(~1*~D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101111111111111),
.INIT_LUT1(16'b1101111111011111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Sqkax6_reg (
.a({\u_logic/_al_u1496_o ,\u_logic/_al_u1496_o }),
.b({\u_logic/_al_u1503_o ,\u_logic/_al_u1503_o }),
.c({\u_logic/_al_u1509_o ,\u_logic/_al_u1509_o }),
.ce(\u_logic/n1360 ),
.clk(clk_pad),
.d({\u_logic/_al_u1515_o ,\u_logic/_al_u1515_o }),
.mi({open_n97810,\u_logic/Sqkax6 }),
.q({open_n97817,\u_logic/Sqkax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18707)
// ../rtl/topmodule/cortexm0ds_logic.v(18093)
// ../rtl/topmodule/cortexm0ds_logic.v(17820)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~A*~(D*~C))"),
//.LUT1("~(~B*~A*~(D*~C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111111101110),
.INIT_LUT1(16'b1110111111101110),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Sqwpw6_reg|u_logic/Pe7ax6_reg (
.a({\u_logic/_al_u2948_o ,\u_logic/_al_u2948_o }),
.b({\u_logic/_al_u2959_o ,\u_logic/_al_u2951_o }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Sqwpw6 ,\u_logic/Pe7ax6 }),
.sr(RSTn_pad),
.q({\u_logic/Sqwpw6 ,\u_logic/Pe7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18093)
// ../rtl/topmodule/cortexm0ds_logic.v(17673)
// ../rtl/topmodule/cortexm0ds_logic.v(17930)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Sw0qw6_reg|u_logic/Z3tpw6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/Kmqow6 }),
.b({\u_logic/V6now6_lutinv ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r1_o[6] ,\u_logic/vis_r6_o[24] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[6] ,\u_logic/vis_r7_o[24] }),
.mi({\u_logic/X6niu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u324_o ,\u_logic/_al_u551_o }),
.q({\u_logic/vis_r1_o[8] ,\u_logic/vis_r1_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(17673)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Sx7ax6_reg (
.a({open_n97850,\u_logic/_al_u213_o }),
.b({open_n97851,\u_logic/_al_u214_o }),
.c({open_n97852,\u_logic/_al_u215_o }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({open_n97853,\u_logic/Wv8pw6 }),
.mi({open_n97857,\u_logic/Rimiu6 }),
.f({open_n97870,\u_logic/Ua0iu6 }),
.q({open_n97874,\u_logic/vis_r0_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18112)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Syjbx6_reg (
.a({\u_logic/_al_u2489_o ,\u_logic/_al_u2489_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/F5miu6 ,\u_logic/F5miu6 }),
.mi({open_n97886,\u_logic/vis_pc_o[17] }),
.q({open_n97893,\u_logic/Syjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20214)
// ../rtl/topmodule/cortexm0ds_logic.v(17913)
// ../rtl/topmodule/cortexm0ds_logic.v(17914)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T00qw6_reg|u_logic/Tyzpw6_reg (
.a({\u_logic/_al_u1370_o ,\u_logic/_al_u1377_o }),
.b({\u_logic/Fgqow6 ,\u_logic/Yfqow6 }),
.c({\u_logic/Yfqow6 ,\u_logic/Gkqow6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[24] ,\u_logic/vis_r8_o[27] }),
.e({\u_logic/vis_psp_o[24] ,\u_logic/vis_psp_o[25] }),
.mi({\u_logic/X1liu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u1371_o ,\u_logic/_al_u1378_o }),
.q({\u_logic/vis_msp_o[25] ,\u_logic/vis_msp_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(17913)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T20qw6_reg (
.a({open_n97910,\u_logic/Dk6pw6 }),
.b({open_n97911,\u_logic/Wj6pw6 }),
.c({open_n97912,\u_logic/_al_u269_o }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({open_n97913,\u_logic/_al_u270_o }),
.mi({open_n97924,\u_logic/P4liu6 }),
.f({open_n97926,\u_logic/J80iu6 }),
.q({open_n97930,\u_logic/vis_msp_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(17915)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T3kpw6_reg (
.a({open_n97931,\u_logic/_al_u1182_o }),
.b({open_n97932,\u_logic/J71pw6 }),
.c({open_n97933,\u_logic/Fgqow6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({open_n97934,\u_logic/vis_msp_o[3] }),
.mi({open_n97945,\u_logic/Rkkiu6 }),
.f({open_n97947,\u_logic/_al_u1184_o }),
.q({open_n97951,\u_logic/vis_r11_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17287)
// ../rtl/topmodule/cortexm0ds_logic.v(19940)
// ../rtl/topmodule/cortexm0ds_logic.v(18975)
EG_PHY_MSLICE #(
//.LUT0("~(D*B*~(C*~A))"),
//.LUT1("~(C*B*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001111111111),
.INIT_LUT1(16'b0111111100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T5yax6_reg|u_logic/Nwbbx6_reg (
.a({\u_logic/_al_u2613_o ,\u_logic/_al_u2583_o }),
.b({\u_logic/_al_u2614_o ,\u_logic/_al_u2584_o }),
.c({\u_logic/_al_u2615_o ,\u_logic/Jl8iu6 }),
.clk(clk_pad),
.d({\u_logic/Jl8iu6 ,\u_logic/_al_u2586_o }),
.q({\u_logic/T5yax6 ,\u_logic/Nwbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19940)
// ../rtl/topmodule/cortexm0ds_logic.v(17925)
// ../rtl/topmodule/cortexm0ds_logic.v(17917)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T60qw6_reg|u_logic/Tm0qw6_reg (
.a({\u_logic/_al_u742_o ,\u_logic/Gkqow6 }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r9_o[18] ,\u_logic/vis_r8_o[12] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[16] ,\u_logic/vis_r10_o[12] }),
.mi({\u_logic/Fyliu6 ,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u785_o ,\u_logic/_al_u1270_o }),
.q({\u_logic/vis_msp_o[19] ,\u_logic/vis_msp_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(17925)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T6kbx6_reg (
.a({\u_logic/_al_u2493_o ,\u_logic/_al_u2493_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/G2miu6 ,\u_logic/G2miu6 }),
.mi({open_n98000,\u_logic/vis_pc_o[18] }),
.q({open_n98007,\u_logic/T6kbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20233)
// ../rtl/topmodule/cortexm0ds_logic.v(17557)
// ../rtl/topmodule/cortexm0ds_logic.v(17966)
EG_PHY_MSLICE #(
//.LUT0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUT1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010111000111111),
.INIT_LUT1(16'b0010001111101111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/T82qw6_reg|u_logic/Ehqpw6_reg (
.a({\u_logic/_al_u633_o ,\u_logic/_al_u633_o }),
.b({\u_logic/_al_u657_o ,\u_logic/_al_u657_o }),
.c({\u_logic/Bx2qw6 ,\u_logic/Li7ax6 }),
.ce(\u_logic/n332 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Z73qw6 ,\u_logic/W6ipw6 }),
.mi({\u_logic/C72qw6 ,\u_logic/Nfqpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u1035_o ,\u_logic/Lk3iu6 }),
.q({\u_logic/T82qw6 ,\u_logic/Ehqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17557)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(0*~A*~(D*C)))"),
//.LUT1("~(B*~(1*~A*~(D*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001100110011),
.INIT_LUT1(16'b0011011101110111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/T8kbx6_reg (
.a({\u_logic/_al_u2351_o ,\u_logic/_al_u2351_o }),
.b({\u_logic/Li5iu6 ,\u_logic/Li5iu6 }),
.c({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.clk(clk_pad),
.d({\u_logic/_al_u1068_o ,\u_logic/_al_u1068_o }),
.mi({open_n98032,\u_logic/T8kbx6 }),
.sr(cpuresetn),
.q({open_n98038,\u_logic/T8kbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20235)
// ../rtl/topmodule/cortexm0ds_logic.v(18964)
// ../rtl/topmodule/cortexm0ds_logic.v(17290)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("~(D*~(C*B))"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("~(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b1100000011111111),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b1100000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T9kpw6_reg|u_logic/Ujxax6_reg (
.b({\u_logic/J8eiu6 ,\u_logic/J8eiu6 }),
.c({\u_logic/L6gpw6 [13],\u_logic/L6gpw6 [7]}),
.clk(clk_pad),
.d({\u_logic/_al_u1541_o ,\u_logic/_al_u1553_o }),
.q({\u_logic/T9kpw6 ,\u_logic/Ujxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18964)
// ../rtl/topmodule/cortexm0ds_logic.v(18887)
// ../rtl/topmodule/cortexm0ds_logic.v(20009)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tbfbx6_reg|u_logic/Ektax6_reg (
.a({\u_logic/_al_u744_o ,\u_logic/_al_u1288_o }),
.b({\u_logic/_al_u746_o ,\u_logic/Fgqow6 }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/Yfqow6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[12] ,\u_logic/vis_msp_o[12] }),
.e({open_n98064,\u_logic/vis_psp_o[12] }),
.mi({\u_logic/Zuliu6 ,\u_logic/C7miu6 }),
.f({\u_logic/_al_u747_o ,\u_logic/_al_u1289_o }),
.q({\u_logic/vis_r12_o[22] ,\u_logic/vis_r12_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18887)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tceax6_reg (
.a({open_n98081,\u_logic/_al_u3252_o }),
.b({open_n98082,\u_logic/_al_u3253_o }),
.c({open_n98083,\u_logic/_al_u3254_o }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({open_n98084,\u_logic/_al_u3255_o }),
.mi({open_n98095,\u_logic/Ud4iu6 }),
.f({open_n98097,\u_logic/_al_u3256_o }),
.q({open_n98101,\u_logic/Tceax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18311)
// ../rtl/topmodule/cortexm0ds_logic.v(20212)
// ../rtl/topmodule/cortexm0ds_logic.v(18647)
EG_PHY_MSLICE #(
//.LUT0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUT1("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b0111001101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tcjax6_reg|u_logic/Tujbx6_reg (
.a({\u_logic/Wz4iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/n4330 ,\u_logic/n4330 }),
.c({HRDATA[16],HRDATA[17]}),
.clk(clk_pad),
.d({\u_logic/Tcjax6 ,\u_logic/Tujbx6 }),
.q({\u_logic/Tcjax6 ,\u_logic/Tujbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20212)
// ../rtl/topmodule/cortexm0ds_logic.v(18830)
// ../rtl/topmodule/cortexm0ds_logic.v(18847)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tcrax6_reg|u_logic/Veqax6_reg (
.a({\u_logic/U9now6_lutinv ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/E8now6_lutinv ,\u_logic/U9now6_lutinv }),
.c({\u_logic/vis_r0_o[6] ,\u_logic/vis_r7_o[24] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[6] ,\u_logic/vis_r0_o[24] }),
.mi({\u_logic/X6niu6 ,\u_logic/Zvkiu6 }),
.f({\u_logic/_al_u323_o ,\u_logic/_al_u280_o }),
.q({\u_logic/vis_r2_o[8] ,\u_logic/vis_r2_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18830)
// ../rtl/topmodule/cortexm0ds_logic.v(17920)
// ../rtl/topmodule/cortexm0ds_logic.v(17921)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Te0qw6_reg|u_logic/Tc0qw6_reg (
.a({\u_logic/_al_u1311_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u1314_o ,\u_logic/_al_u745_o }),
.c({\u_logic/Fgqow6 ,\u_logic/vis_r14_o[20] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[16] ,\u_logic/vis_psp_o[18] }),
.mi({\u_logic/Bamiu6 ,\u_logic/C7miu6 }),
.f({\u_logic/_al_u1315_o ,\u_logic/_al_u802_o }),
.q({\u_logic/vis_msp_o[15] ,\u_logic/vis_msp_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(17920)
// ../rtl/topmodule/cortexm0ds_logic.v(18408)
// ../rtl/topmodule/cortexm0ds_logic.v(18259)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~A*~(~D*~C)*(0@B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~A*~(~D*~C)*(1@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0100010001000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001000100010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tfcax6_reg|u_logic/Hjgax6_reg (
.a({\u_logic/_al_u3597_o ,\u_logic/_al_u3238_o }),
.b({\u_logic/_al_u2513_o ,\u_logic/Cs1iu6 }),
.c({\u_logic/_al_u2589_o ,\u_logic/Vr1iu6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Bngax6 ,\u_logic/Q9dax6 }),
.e({\u_logic/Thcbx6 ,\u_logic/Xdcax6 }),
.mi({\u_logic/H34iu6 ,\u_logic/F94iu6 }),
.f({\u_logic/_al_u3598_o ,\u_logic/_al_u3239_o }),
.q({\u_logic/Tfcax6 ,\u_logic/Hjgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18408)
// ../rtl/topmodule/cortexm0ds_logic.v(17918)
// ../rtl/topmodule/cortexm0ds_logic.v(20011)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tffbx6_reg|u_logic/T80qw6_reg (
.a({\u_logic/_al_u848_o ,\u_logic/_al_u1325_o }),
.b({\u_logic/_al_u849_o ,\u_logic/Fgqow6 }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/Yfqow6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[27] ,\u_logic/vis_msp_o[18] }),
.e({open_n98169,\u_logic/vis_psp_o[18] }),
.mi({\u_logic/Zuliu6 ,\u_logic/E1miu6 }),
.f({\u_logic/_al_u850_o ,\u_logic/_al_u1326_o }),
.q({\u_logic/vis_msp_o[20] ,\u_logic/vis_msp_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(17918)
// ../rtl/topmodule/cortexm0ds_logic.v(18064)
// ../rtl/topmodule/cortexm0ds_logic.v(17922)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tg0qw6_reg|u_logic/Gp6ax6_reg (
.a({\u_logic/_al_u448_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u449_o ,\u_logic/_al_u745_o }),
.c({\u_logic/_al_u450_o ,\u_logic/vis_psp_o[21] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/_al_u451_o ,\u_logic/vis_r14_o[23] }),
.mi({\u_logic/Admiu6 ,\u_logic/Vx9iu6 }),
.f({\u_logic/Z20iu6 ,\u_logic/_al_u823_o }),
.q({\u_logic/vis_msp_o[14] ,\u_logic/vis_msp_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(18064)
// ../rtl/topmodule/cortexm0ds_logic.v(19110)
// ../rtl/topmodule/cortexm0ds_logic.v(19104)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Tgzax6_reg|u_logic/Uizax6_reg (
.a({\u_logic/_al_u2197_o ,open_n98200}),
.b({\u_logic/_al_u2204_o ,\u_logic/Ukbpw6_lutinv }),
.c({\u_logic/_al_u2212_o ,\u_logic/Nv9bx6 }),
.ce(\u_logic/n987 ),
.clk(clk_pad),
.d({\u_logic/_al_u2216_o ,\u_logic/_al_u1890_o }),
.mi(HWDATA[31:30]),
.sr(cpuresetn),
.f({\u_logic/_al_u2217_o ,\u_logic/_al_u2191_o }),
.q({\u_logic/Tgzax6 ,\u_logic/Uizax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19110)
EG_PHY_MSLICE #(
//.LUT0("~(D*~C*~(0*~(B*~A)))"),
//.LUT1("~(D*~C*~(1*~(B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011111111),
.INIT_LUT1(16'b1111101111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Thhax6_reg (
.a({\u_logic/_al_u4471_o ,\u_logic/_al_u4471_o }),
.b({\u_logic/_al_u4486_o ,\u_logic/_al_u4486_o }),
.c({\u_logic/_al_u4663_o ,\u_logic/_al_u4663_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4669_o ,\u_logic/_al_u4669_o }),
.mi({open_n98225,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.q({open_n98231,\u_logic/vis_pc_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(18498)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tk0qw6_reg (
.a({open_n98232,\u_logic/C10iu6 }),
.b({open_n98233,\u_logic/_al_u1310_o }),
.c({open_n98234,\u_logic/Ahqow6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({open_n98235,\u_logic/vis_r14_o[18] }),
.mi({open_n98239,\u_logic/Bomiu6 }),
.f({open_n98252,\u_logic/_al_u1311_o }),
.q({open_n98256,\u_logic/vis_msp_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(17924)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tkdax6_reg (
.a({open_n98257,\u_logic/_al_u3074_o }),
.b({open_n98258,\u_logic/_al_u3075_o }),
.c({open_n98259,\u_logic/_al_u3076_o }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({open_n98260,\u_logic/_al_u3077_o }),
.mi({open_n98271,\u_logic/K84iu6 }),
.f({open_n98273,\u_logic/_al_u3078_o }),
.q({open_n98277,\u_logic/Tkdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18291)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tlebx6_reg (
.a({\u_logic/_al_u2505_o ,\u_logic/_al_u2505_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Ctliu6 ,\u_logic/Ctliu6 }),
.mi({open_n98289,\u_logic/vis_pc_o[21] }),
.q({open_n98296,\u_logic/Tlebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19996)
// ../rtl/topmodule/cortexm0ds_logic.v(17778)
// ../rtl/topmodule/cortexm0ds_logic.v(19998)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tpebx6_reg|u_logic/Jlvpw6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Voqow6 ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r0_o[22] ,\u_logic/vis_r0_o[18] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[22] ,\u_logic/vis_r5_o[18] }),
.mi({\u_logic/Zuliu6 ,\u_logic/D4miu6 }),
.f({\u_logic/Wdyow6 ,\u_logic/_al_u515_o }),
.q({\u_logic/vis_r1_o[22] ,\u_logic/vis_r1_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(17778)
// ../rtl/topmodule/cortexm0ds_logic.v(17696)
// ../rtl/topmodule/cortexm0ds_logic.v(20001)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tvebx6_reg|u_logic/X3upw6_reg (
.a({\u_logic/_al_u742_o ,\u_logic/_al_u1369_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Ljqow6 }),
.c({\u_logic/vis_msp_o[24] ,\u_logic/Qiqow6 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[26] ,\u_logic/vis_r9_o[26] }),
.e({open_n98311,\u_logic/vis_r11_o[26] }),
.mi({\u_logic/Zuliu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u841_o ,\u_logic/_al_u1370_o }),
.q({\u_logic/vis_r8_o[22] ,\u_logic/vis_r8_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(17696)
// ../rtl/topmodule/cortexm0ds_logic.v(17916)
// ../rtl/topmodule/cortexm0ds_logic.v(17912)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Twzpw6_reg|u_logic/T40qw6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/Ljqow6 }),
.b({\u_logic/Ahqow6 ,\u_logic/Dfqow6 }),
.c({\u_logic/vis_r14_o[25] ,\u_logic/Ibqpw6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[25] ,\u_logic/vis_r11_o[28] }),
.mi({\u_logic/Zvkiu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u1362_o ,\u_logic/_al_u1385_o }),
.q({\u_logic/vis_msp_o[22] ,\u_logic/vis_msp_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(17916)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(0*~D)*~(C*~A))"),
//.LUT1("~(B*~(1*~D)*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101110011),
.INIT_LUT1(16'b0111001111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/U0hax6_reg (
.a({\u_logic/_al_u4707_o ,\u_logic/_al_u4707_o }),
.b({\u_logic/_al_u4808_o ,\u_logic/_al_u4808_o }),
.c({\u_logic/Zgziu6_lutinv ,\u_logic/Zgziu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/_al_u2647_o ,\u_logic/_al_u2647_o }),
.mi({open_n98353,\u_logic/vis_pc_o[26] }),
.sr(cpuresetn),
.q({open_n98359,\u_logic/vis_pc_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(18444)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/U0rax6_reg (
.a({open_n98360,\u_logic/C7now6_lutinv }),
.b({open_n98361,\u_logic/N9now6_lutinv }),
.c({open_n98362,\u_logic/vis_r1_o[16] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({open_n98363,\u_logic/vis_r6_o[16] }),
.mi({open_n98374,\u_logic/Admiu6 }),
.f({open_n98376,\u_logic/_al_u225_o }),
.q({open_n98380,\u_logic/vis_r2_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(18841)
// ../rtl/topmodule/cortexm0ds_logic.v(18972)
// ../rtl/topmodule/cortexm0ds_logic.v(18974)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/U3yax6_reg|u_logic/Vzxax6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Panow6_lutinv ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r3_o[5] ,\u_logic/vis_r1_o[5] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[5] ,\u_logic/vis_r5_o[5] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/D39iu6 }),
.f({\u_logic/_al_u359_o ,\u_logic/_al_u357_o }),
.q({\u_logic/vis_r3_o[3] ,\u_logic/vis_r3_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18972)
// ../rtl/topmodule/cortexm0ds_logic.v(19816)
// ../rtl/topmodule/cortexm0ds_logic.v(18326)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(1*D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/U4fax6_reg|u_logic/Hi9bx6_reg (
.a({\u_logic/_al_u3629_o ,\u_logic/U1uiu6 }),
.b({\u_logic/_al_u3637_o ,\u_logic/_al_u3147_o }),
.c({\u_logic/_al_u3639_o ,\u_logic/_al_u3148_o }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/_al_u3640_o ,\u_logic/Kw1iu6_lutinv }),
.e({\u_logic/Dncax6 ,\u_logic/Xc9ax6 }),
.mi({\u_logic/Df4iu6 ,\u_logic/Xi4iu6 }),
.f({\u_logic/_al_u3641_o ,\u_logic/_al_u3149_o }),
.q({\u_logic/U4fax6 ,\u_logic/Hi9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19816)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(D*C)*~(0*B))"),
//.LUT1("~(A*~(D*C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111010101010101),
.INIT_LUT1(16'b1111110111011101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/U9ypw6_reg (
.a({\u_logic/_al_u2912_o ,\u_logic/_al_u2912_o }),
.b({\u_logic/Pp7iu6 ,\u_logic/Pp7iu6 }),
.c({\u_logic/Kq7iu6 ,\u_logic/Kq7iu6 }),
.clk(clk_pad),
.d({HRDATA[31],HRDATA[31]}),
.mi({open_n98426,HRDATA[15]}),
.sr(cpuresetn),
.q({open_n98432,\u_logic/U9ypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17873)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ua9bx6_reg (
.a({\u_logic/_al_u2549_o ,\u_logic/_al_u2549_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Zokiu6 ,\u_logic/Zokiu6 }),
.mi({open_n98444,\u_logic/vis_pc_o[5] }),
.q({open_n98451,\u_logic/Ua9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19812)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Uarax6_reg (
.a({open_n98452,\u_logic/_al_u586_o }),
.b({open_n98453,\u_logic/_al_u587_o }),
.c({open_n98454,\u_logic/_al_u588_o }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({open_n98455,\u_logic/_al_u589_o }),
.mi({open_n98459,\u_logic/K3niu6 }),
.f({open_n98472,\u_logic/Dyzhu6 }),
.q({open_n98476,\u_logic/vis_r2_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(18846)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~((~D*~C))*~(0)+A*~(B)*(~D*~C)*~(0)+~(A)*~(B)*~((~D*~C))*0+A*~(B)*~((~D*~C))*0+~(A)*B*~((~D*~C))*0+~(A)*~(B)*(~D*~C)*0+A*~(B)*(~D*~C)*0+~(A)*B*(~D*~C)*0+A*B*(~D*~C)*0)"),
//.LUT1("(A*~(B)*~((~D*~C))*~(1)+A*~(B)*(~D*~C)*~(1)+~(A)*~(B)*~((~D*~C))*1+A*~(B)*~((~D*~C))*1+~(A)*B*~((~D*~C))*1+~(A)*~(B)*(~D*~C)*1+A*~(B)*(~D*~C)*1+~(A)*B*(~D*~C)*1+A*B*(~D*~C)*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010001000100010),
.INIT_LUT1(16'b0111011101111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ubypw6_reg (
.a({\u_logic/_al_u1978_o ,\u_logic/_al_u1978_o }),
.b({\u_logic/Itbow6 ,\u_logic/Itbow6 }),
.c({\u_logic/Dk7ow6 ,\u_logic/Dk7ow6 }),
.clk(clk_pad),
.d({\u_logic/_al_u395_o ,\u_logic/_al_u395_o }),
.mi({open_n98488,\u_logic/Ubypw6 }),
.q({open_n98495,\u_logic/Ubypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17878)
// ../rtl/topmodule/cortexm0ds_logic.v(19933)
// ../rtl/topmodule/cortexm0ds_logic.v(18165)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ue9ax6_reg|u_logic/Qjbbx6_reg (
.a({open_n98496,\u_logic/Vr1iu6 }),
.b({\u_logic/_al_u3453_o ,\u_logic/Ar1iu6 }),
.c({\u_logic/_al_u3459_o ,\u_logic/F8cbx6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/_al_u3451_o ,\u_logic/Qjcbx6 }),
.mi({\u_logic/Z54iu6 ,\u_logic/G64iu6 }),
.f({\u_logic/_al_u3460_o ,\u_logic/_al_u3050_o }),
.q({\u_logic/Ue9ax6 ,\u_logic/Qjbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19933)
EG_PHY_MSLICE #(
//.LUT0("(~(~0*~C)*~(A*~(~D*~B)))"),
//.LUT1("(~(~1*~C)*~(A*~(~D*~B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101000001110000),
.INIT_LUT1(16'b0101010101110111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Ufopw6_reg (
.a({\u_logic/_al_u2333_o ,\u_logic/_al_u2333_o }),
.b({\u_logic/_al_u2336_o ,\u_logic/_al_u2336_o }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.mi({open_n98526,\u_logic/Ufopw6 }),
.sr(cpuresetn),
.q({open_n98532,\u_logic/Ufopw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17515)
// ../rtl/topmodule/cortexm0ds_logic.v(20172)
// ../rtl/topmodule/cortexm0ds_logic.v(18922)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Uhvax6_reg|u_logic/Rhibx6_reg (
.a({\u_logic/_al_u592_o ,\u_logic/C7now6_lutinv }),
.b({\u_logic/_al_u593_o ,\u_logic/U9now6_lutinv }),
.c({\u_logic/_al_u594_o ,\u_logic/vis_r0_o[30] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u595_o ,\u_logic/vis_r6_o[30] }),
.mi({\u_logic/X6niu6 ,\u_logic/Gfniu6 }),
.f({\u_logic/Ixzhu6 ,\u_logic/_al_u315_o }),
.q({\u_logic/vis_r4_o[8] ,\u_logic/vis_r4_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20172)
EG_PHY_MSLICE #(
//.LUT0("(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*~(D)*~(0)+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*~(0)+~(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))*D*0+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*0)"),
//.LUT1("(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*~(D)*~(1)+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*~(1)+~(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))*D*1+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101001101010011),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ujspw6_reg (
.a({\u_logic/_al_u1416_o ,\u_logic/_al_u1416_o }),
.b({\u_logic/_al_u1138_o ,\u_logic/_al_u1138_o }),
.c({\u_logic/_al_u1307_o ,\u_logic/_al_u1307_o }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Z54iu6 ,\u_logic/Z54iu6 }),
.mi({open_n98557,\u_logic/Wvgax6 }),
.fx({open_n98562,HWDATA[16]}),
.q({open_n98563,\u_logic/Ujspw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17658)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~((~D*~C))*~(0)+A*~(B)*(~D*~C)*~(0)+~(A)*~(B)*~((~D*~C))*0+A*~(B)*~((~D*~C))*0+~(A)*B*~((~D*~C))*0+~(A)*~(B)*(~D*~C)*0+A*~(B)*(~D*~C)*0+~(A)*B*(~D*~C)*0+A*B*(~D*~C)*0)"),
//.LUT1("(A*~(B)*~((~D*~C))*~(1)+A*~(B)*(~D*~C)*~(1)+~(A)*~(B)*~((~D*~C))*1+A*~(B)*~((~D*~C))*1+~(A)*B*~((~D*~C))*1+~(A)*~(B)*(~D*~C)*1+A*~(B)*(~D*~C)*1+~(A)*B*(~D*~C)*1+A*B*(~D*~C)*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010001000100010),
.INIT_LUT1(16'b0111011101111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Umkax6_reg (
.a({\u_logic/_al_u1978_o ,\u_logic/_al_u1978_o }),
.b({\u_logic/_al_u1983_o ,\u_logic/_al_u1983_o }),
.c({\u_logic/_al_u719_o ,\u_logic/_al_u719_o }),
.clk(clk_pad),
.d({\u_logic/Dk7ow6 ,\u_logic/Dk7ow6 }),
.mi({open_n98575,\u_logic/Umkax6 }),
.q({open_n98582,\u_logic/Umkax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18705)
// ../rtl/topmodule/cortexm0ds_logic.v(17545)
// ../rtl/topmodule/cortexm0ds_logic.v(17688)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Untpw6_reg|u_logic/Jvppw6_reg (
.a({\u_logic/_al_u508_o ,\u_logic/Xpqow6 }),
.b({\u_logic/Hmzow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/_al_u510_o ,\u_logic/vis_r7_o[0] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u511_o ,\u_logic/vis_r3_o[0] }),
.mi({\u_logic/Qcaiu6 ,\u_logic/Rkkiu6 }),
.f({\u_logic/O00iu6 ,\u_logic/X53pw6 }),
.q({\u_logic/vis_r1_o[2] ,\u_logic/vis_r1_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17545)
// ../rtl/topmodule/cortexm0ds_logic.v(19014)
// ../rtl/topmodule/cortexm0ds_logic.v(19020)
EG_PHY_LSLICE #(
//.LUTF0("(~C*A*(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~C*A*(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000101000001000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Unyax6_reg|u_logic/Slyax6_reg (
.a({\u_logic/_al_u4316_o ,\u_logic/_al_u1908_o }),
.b({\u_logic/_al_u4319_o ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/_al_u4320_o ,\u_logic/vis_ipsr_o[1] }),
.ce(\u_logic/n1112 ),
.clk(clk_pad),
.d({\u_logic/_al_u4321_o ,\u_logic/S3mpw6 }),
.e({open_n98601,\u_logic/Yryax6 }),
.mi({HWDATA[30],HWDATA[23]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4322_o ,\u_logic/_al_u2226_o }),
.q({\u_logic/Unyax6 ,\u_logic/Slyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19014)
// ../rtl/topmodule/cortexm0ds_logic.v(18828)
// ../rtl/topmodule/cortexm0ds_logic.v(18835)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Uoqax6_reg|u_logic/Xaqax6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/N9now6_lutinv }),
.b({\u_logic/N9now6_lutinv ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r6_o[24] ,\u_logic/vis_r3_o[25] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[24] ,\u_logic/vis_r1_o[25] }),
.mi({\u_logic/Hsliu6 ,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u281_o ,\u_logic/_al_u285_o }),
.q({\u_logic/vis_r2_o[25] ,\u_logic/vis_r2_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(18828)
// ../rtl/topmodule/cortexm0ds_logic.v(18839)
// ../rtl/topmodule/cortexm0ds_logic.v(18836)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Uqqax6_reg|u_logic/Uwqax6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/Voqow6 }),
.b({\u_logic/Kmqow6 ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r6_o[19] ,\u_logic/vis_r1_o[19] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[19] ,\u_logic/vis_r5_o[19] }),
.mi({\u_logic/Fyliu6 ,\u_logic/C7miu6 }),
.f({\u_logic/B5zow6 ,\u_logic/_al_u521_o }),
.q({\u_logic/vis_r2_o[21] ,\u_logic/vis_r2_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18839)
// ../rtl/topmodule/cortexm0ds_logic.v(18318)
// ../rtl/topmodule/cortexm0ds_logic.v(18319)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ureax6_reg|u_logic/Xpeax6_reg (
.c({\u_logic/Fj8ax6 ,\u_logic/Va7ax6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/E54iu6 ,\u_logic/S54iu6 }),
.q({\u_logic/Ureax6 ,\u_logic/Xpeax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18318)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(~(~0*D)*A))"),
//.LUT1("(~(C*B)*~(~(~1*D)*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011111100010101),
.INIT_LUT1(16'b0001010100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Us3bx6_reg (
.a({\u_logic/B56iu6 ,\u_logic/B56iu6 }),
.b({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.c({\u_logic/Bggiu6_lutinv ,\u_logic/Bggiu6_lutinv }),
.clk(clk_pad),
.d({key_interrupt[4],key_interrupt[4]}),
.mi({open_n98680,\u_logic/Sq3bx6 }),
.sr(cpuresetn),
.fx({open_n98684,\u_logic/Bxdpw6 }),
.q({open_n98685,\u_logic/Us3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19554)
// ../rtl/topmodule/cortexm0ds_logic.v(17698)
// ../rtl/topmodule/cortexm0ds_logic.v(17219)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0111000011111000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Usipw6_reg|u_logic/X5upw6_reg (
.a({HWDATA[28],HWDATA[26]}),
.b({\u_logic/O59iu6_lutinv ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Usipw6 ,\u_logic/X5upw6 }),
.clk(clk_pad),
.d({\u_logic/Ztupw6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.q({\u_logic/Usipw6 ,\u_logic/X5upw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17698)
// ../rtl/topmodule/cortexm0ds_logic.v(20210)
// ../rtl/topmodule/cortexm0ds_logic.v(20211)
EG_PHY_LSLICE #(
//.LUTF0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTF1("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTG0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTG1("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101000000),
.INIT_LUTF1(16'b0111001101000000),
.INIT_LUTG0(16'b0111001101000000),
.INIT_LUTG1(16'b0111001101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Usjbx6_reg|u_logic/Vqjbx6_reg (
.a({\u_logic/Wz4iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/n4330 ,\u_logic/n4330 }),
.c({HRDATA[18],HRDATA[19]}),
.clk(clk_pad),
.d({\u_logic/Usjbx6 ,\u_logic/Vqjbx6 }),
.q({\u_logic/Usjbx6 ,\u_logic/Vqjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20210)
// ../rtl/topmodule/cortexm0ds_logic.v(18832)
// ../rtl/topmodule/cortexm0ds_logic.v(18837)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Usqax6_reg|u_logic/Viqax6_reg (
.a({\u_logic/Panow6_lutinv ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r5_o[27] ,\u_logic/vis_r7_o[26] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[27] ,\u_logic/vis_r5_o[26] }),
.mi({\u_logic/E1miu6 ,\u_logic/X1liu6 }),
.f({\u_logic/_al_u298_o ,\u_logic/_al_u294_o }),
.q({\u_logic/vis_r2_o[20] ,\u_logic/vis_r2_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(18832)
// ../rtl/topmodule/cortexm0ds_logic.v(18773)
// ../rtl/topmodule/cortexm0ds_logic.v(18766)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Uvmax6_reg|u_logic/Q9nax6_reg (
.a({\u_logic/_al_u1163_o ,\u_logic/Dfqow6 }),
.b({\u_logic/Pxzhu6 ,\u_logic/Hhqow6 }),
.c({\u_logic/Ahqow6 ,\u_logic/vis_r12_o[2] }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[2] ,\u_logic/Xrxax6 }),
.mi({\u_logic/Qcaiu6 ,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u1164_o ,\u_logic/_al_u1162_o }),
.q({\u_logic/vis_r14_o[2] ,\u_logic/vis_r14_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(18773)
// ../rtl/topmodule/cortexm0ds_logic.v(19792)
// ../rtl/topmodule/cortexm0ds_logic.v(18915)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/V3vax6_reg|u_logic/Z78bx6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Panow6_lutinv ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r1_o[15] ,\u_logic/vis_r1_o[17] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[15] ,\u_logic/vis_r5_o[17] }),
.mi({\u_logic/Bamiu6 ,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u221_o ,\u_logic/_al_u233_o }),
.q({\u_logic/vis_r4_o[17] ,\u_logic/vis_r4_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19792)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/V5vax6_reg (
.a({open_n98773,\u_logic/_al_u225_o }),
.b({open_n98774,\u_logic/_al_u226_o }),
.c({open_n98775,\u_logic/_al_u227_o }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({open_n98776,\u_logic/_al_u228_o }),
.mi({open_n98787,\u_logic/Admiu6 }),
.f({open_n98789,\u_logic/Ga0iu6 }),
.q({open_n98793,\u_logic/vis_r4_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(18916)
// ../rtl/topmodule/cortexm0ds_logic.v(20084)
// ../rtl/topmodule/cortexm0ds_logic.v(17645)
EG_PHY_LSLICE #(
//.LUTF0("(~D*A*(0*~(C)*~(B)+0*C*~(B)+~(0)*C*B+0*C*B))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*A*(1*~(C)*~(B)+1*C*~(B)+~(1)*C*B+1*C*B))"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000010100010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Vbspw6_reg|u_logic/Tngbx6_reg (
.a({open_n98794,\u_logic/_al_u1931_o }),
.b({\u_logic/A9row6_lutinv ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/Tngbx6 ,\u_logic/Ohyax6 }),
.ce(\u_logic/n1116 ),
.clk(clk_pad),
.d({\u_logic/_al_u1908_o ,\u_logic/vis_ipsr_o[1] }),
.e({open_n98795,\u_logic/T3abx6 }),
.mi({HWDATA[14],HWDATA[22]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2215_o ,\u_logic/_al_u2208_o }),
.q({\u_logic/Vbspw6 ,\u_logic/Tngbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20084)
// ../rtl/topmodule/cortexm0ds_logic.v(18908)
// ../rtl/topmodule/cortexm0ds_logic.v(18919)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vbvax6_reg|u_logic/Wpuax6_reg (
.a({\u_logic/_al_u201_o ,\u_logic/_al_u309_o }),
.b({\u_logic/_al_u202_o ,\u_logic/_al_u310_o }),
.c({\u_logic/_al_u203_o ,\u_logic/_al_u311_o }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u204_o ,\u_logic/_al_u312_o }),
.mi({\u_logic/Bomiu6 ,\u_logic/P4liu6 }),
.f({\u_logic/Ib0iu6 ,\u_logic/M60iu6 }),
.q({\u_logic/vis_r4_o[12] ,\u_logic/vis_r4_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(18908)
EG_PHY_MSLICE #(
//.LUT0("(~0*~(B*~(D*~(C*~A))))"),
//.LUT1("(~1*~(B*~(D*~(C*~A))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111100110011),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vgjpw6_reg (
.a({\u_logic/_al_u4026_o ,\u_logic/_al_u4026_o }),
.b({\u_logic/_al_u4038_o ,\u_logic/_al_u4038_o }),
.c({\u_logic/_al_u4044_o ,\u_logic/_al_u4044_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4045_o ,\u_logic/_al_u4045_o }),
.mi({open_n98836,\u_logic/_al_u4046_o }),
.q({open_n98843,\u_logic/Vgjpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17240)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vgqax6_reg (
.a({open_n98844,\u_logic/_al_u291_o }),
.b({open_n98845,\u_logic/_al_u292_o }),
.c({open_n98846,\u_logic/Nj5pw6 }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({open_n98847,\u_logic/_al_u294_o }),
.mi({open_n98851,\u_logic/Fzkiu6 }),
.f({open_n98864,\u_logic/H70iu6 }),
.q({open_n98868,\u_logic/vis_r2_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(18831)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111010000110000),
.INIT_LUT1(16'b1111111010111010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vj3qw6_reg (
.a({\u_logic/Oa4iu6 ,\u_logic/Oa4iu6 }),
.b({\u_logic/n394 ,\u_logic/n394 }),
.c({\u_logic/M8ipw6 ,\u_logic/M8ipw6 }),
.clk(clk_pad),
.d({\u_logic/Vj3qw6 ,\u_logic/Vj3qw6 }),
.mi({open_n98880,\u_logic/Vrkbx6 [2]}),
.q({open_n98887,\u_logic/Vj3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18041)
// ../rtl/topmodule/cortexm0ds_logic.v(18844)
// ../rtl/topmodule/cortexm0ds_logic.v(18833)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vkqax6_reg|u_logic/U6rax6_reg (
.a({\u_logic/_al_u604_o ,\u_logic/Cpqow6 }),
.b({\u_logic/Xwqow6 ,\u_logic/Xpqow6 }),
.c({\u_logic/_al_u606_o ,\u_logic/vis_r3_o[12] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/_al_u607_o ,\u_logic/vis_r0_o[12] }),
.mi({\u_logic/P4liu6 ,\u_logic/Bomiu6 }),
.f({\u_logic/Wxzhu6 ,\u_logic/_al_u460_o }),
.q({\u_logic/vis_r2_o[29] ,\u_logic/vis_r2_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(18844)
// ../rtl/topmodule/cortexm0ds_logic.v(18180)
// ../rtl/topmodule/cortexm0ds_logic.v(18188)
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*C*B*A)"),
//.LUTF1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(~1*D*C*B*A)"),
//.LUTG1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1100010000000100),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1100010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vlaax6_reg|u_logic/T6aax6_reg (
.a({\u_logic/_al_u2613_o ,\u_logic/_al_u3320_o }),
.b({\u_logic/Nvkbx6 [3],\u_logic/_al_u3338_o }),
.c({\u_logic/_al_u3288_o ,\u_logic/_al_u3355_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[2] ,\u_logic/_al_u3370_o }),
.e({open_n98902,\u_logic/Ilpiu6 }),
.mi({\u_logic/Pl4iu6 ,\u_logic/D84iu6 }),
.f({\u_logic/Sjkhu6 ,\u_logic/_al_u3375_o }),
.q({\u_logic/Vlaax6 ,\u_logic/T6aax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18180)
// ../rtl/topmodule/cortexm0ds_logic.v(17447)
// ../rtl/topmodule/cortexm0ds_logic.v(17687)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vltpw6_reg|u_logic/Fzmpw6_reg (
.a({\u_logic/_al_u357_o ,\u_logic/Mnqow6 }),
.b({\u_logic/_al_u358_o ,\u_logic/Fnqow6 }),
.c({\u_logic/_al_u359_o ,\u_logic/vis_r4_o[0] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u360_o ,\u_logic/vis_r5_o[0] }),
.mi({\u_logic/Qcaiu6 ,\u_logic/Rkkiu6 }),
.f({\u_logic/W40iu6 ,\u_logic/Y23pw6 }),
.q({\u_logic/vis_r0_o[2] ,\u_logic/vis_r0_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17447)
// ../rtl/topmodule/cortexm0ds_logic.v(18966)
// ../rtl/topmodule/cortexm0ds_logic.v(18965)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vlxax6_reg|u_logic/Wnxax6_reg (
.c({\u_logic/C1wpw6 ,\u_logic/C1wpw6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d(HWDATA[2:1]),
.f({\u_logic/G3eiu6 ,\u_logic/I4eiu6 }),
.q({\u_logic/Vlxax6 ,\u_logic/Wnxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18966)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111010000110000),
.INIT_LUT1(16'b1111111010111010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vn9bx6_reg (
.a({\u_logic/Oa4iu6 ,\u_logic/Oa4iu6 }),
.b({\u_logic/n394 ,\u_logic/n394 }),
.c({\u_logic/Q89bx6 ,\u_logic/Q89bx6 }),
.clk(clk_pad),
.d({\u_logic/Vn9bx6 ,\u_logic/Vn9bx6 }),
.mi({open_n98964,\u_logic/Vrkbx6 [7]}),
.q({open_n98971,\u_logic/Vn9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19819)
// ../rtl/topmodule/cortexm0ds_logic.v(20005)
// ../rtl/topmodule/cortexm0ds_logic.v(18911)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vvuax6_reg|u_logic/T3fbx6_reg (
.a({\u_logic/_al_u520_o ,\u_logic/N9now6_lutinv }),
.b({\u_logic/_al_u521_o ,\u_logic/Panow6_lutinv }),
.c({\u_logic/_al_u522_o ,\u_logic/vis_r1_o[22] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/B5zow6 ,\u_logic/vis_r3_o[22] }),
.mi({\u_logic/Fyliu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/V00iu6 ,\u_logic/_al_u270_o }),
.q({\u_logic/vis_r4_o[21] ,\u_logic/vis_r4_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20005)
EG_PHY_MSLICE #(
//.LUT0("~(0*B*~A*~(~D*~C))"),
//.LUT1("~(1*B*~A*~(~D*~C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111111111),
.INIT_LUT1(16'b1011101110111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vvxax6_reg (
.a({\u_logic/_al_u4471_o ,\u_logic/_al_u4471_o }),
.b({\u_logic/_al_u4567_o ,\u_logic/_al_u4567_o }),
.c({\u_logic/H78ow6 ,\u_logic/H78ow6 }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/Eccow6 ,\u_logic/Eccow6 }),
.mi({open_n98996,\u_logic/Evkiu6 }),
.fx({open_n99001,\u_logic/Vx9iu6 }),
.q({open_n99002,\u_logic/vis_r3_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18970)
EG_PHY_MSLICE #(
//.LUT0("~(~(0*~D)*~(~C*~B*~A))"),
//.LUT1("~(~(1*~D)*~(~C*~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000100000001),
.INIT_LUT1(16'b0000000111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Vygax6_reg (
.a({\u_logic/Z18iu6_lutinv ,\u_logic/Z18iu6_lutinv }),
.b({\u_logic/n1481 ,\u_logic/n1481 }),
.c({\u_logic/_al_u2293_o ,\u_logic/_al_u2293_o }),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.mi({open_n99014,\u_logic/Vygax6 }),
.sr(cpuresetn),
.q({open_n99020,\u_logic/Vygax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18438)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vzuax6_reg (
.a({open_n99021,\u_logic/_al_u514_o }),
.b({open_n99022,\u_logic/_al_u515_o }),
.c({open_n99023,\u_logic/_al_u516_o }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({open_n99024,\u_logic/_al_u517_o }),
.mi({open_n99035,\u_logic/D4miu6 }),
.f({open_n99037,\u_logic/C10iu6 }),
.q({open_n99041,\u_logic/vis_r4_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(18913)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000011),
.INIT_LUT1(16'b1011101110001011),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/W0jax6_reg (
.a({\u_logic/_al_u4413_o ,\u_logic/_al_u4413_o }),
.b({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.c({\u_logic/_al_u4426_o ,\u_logic/_al_u4426_o }),
.clk(clk_pad),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.mi({open_n99053,\u_logic/Xlfpw6 [7]}),
.q({open_n99060,\u_logic/W0jax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18626)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(0*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)))"),
//.LUT1("~(~A*~(1*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1110111111101010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/W2jax6_reg (
.a({\u_logic/_al_u4428_o ,\u_logic/_al_u4428_o }),
.b({\u_logic/_al_u4413_o ,\u_logic/_al_u4413_o }),
.c({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.mi({open_n99072,\u_logic/Xlfpw6 [8]}),
.q({open_n99079,\u_logic/W2jax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18627)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTG0("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTG0(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/W5max6_reg (
.a({open_n99080,\u_logic/_al_u834_o }),
.b({open_n99081,\u_logic/_al_u835_o }),
.c({open_n99082,\u_logic/Gq4ju6_lutinv }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({open_n99083,\u_logic/vis_r12_o[25] }),
.mi({open_n99087,\u_logic/Hsliu6 }),
.f({open_n99100,\u_logic/_al_u836_o }),
.q({open_n99104,\u_logic/vis_r10_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(18753)
// ../rtl/topmodule/cortexm0ds_logic.v(17326)
// ../rtl/topmodule/cortexm0ds_logic.v(17187)
EG_PHY_MSLICE #(
//.LUT0("~(C*~B*D)"),
//.LUT1("~(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100111111111111),
.INIT_LUT1(16'b1100000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/W6ipw6_reg|u_logic/L5lpw6_reg (
.b({\u_logic/Cl1iu6 ,\u_logic/_al_u985_o }),
.c({\u_logic/A5ipw6 ,\u_logic/Im3iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u1122_o ,\u_logic/_al_u1118_o }),
.q({\u_logic/W6ipw6 ,\u_logic/L5lpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17326)
// ../rtl/topmodule/cortexm0ds_logic.v(18756)
// ../rtl/topmodule/cortexm0ds_logic.v(18754)
EG_PHY_MSLICE #(
//.LUT0("~(C*D)"),
//.LUT1("~(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111111111),
.INIT_LUT1(16'b0000111111111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/W7max6_reg|u_logic/Wbmax6_reg (
.c({\u_logic/Azliu6 ,\u_logic/Y4miu6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/_al_u4551_o ,\u_logic/_al_u4522_o }),
.f({\u_logic/Fyliu6 ,\u_logic/D4miu6 }),
.q({\u_logic/vis_r10_o[21] ,\u_logic/vis_r10_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(18756)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUT1("~(A*~(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101010101010101),
.INIT_LUT1(16'b1111011101110111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/W8hbx6_reg (
.a({\u_logic/A2ciu6_lutinv ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u4358_o ,\u_logic/_al_u4358_o }),
.c({\u_logic/_al_u2858_o ,\u_logic/_al_u2858_o }),
.clk(clk_pad),
.d({HRDATA[15],HRDATA[15]}),
.mi({open_n99157,\u_logic/W8hbx6 }),
.q({open_n99164,\u_logic/W8hbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20104)
// ../rtl/topmodule/cortexm0ds_logic.v(18758)
// ../rtl/topmodule/cortexm0ds_logic.v(18755)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/W9max6_reg|u_logic/Wfmax6_reg (
.a({\u_logic/_al_u1405_o ,\u_logic/_al_u742_o }),
.b({\u_logic/_al_u1406_o ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/Fgqow6 ,\u_logic/vis_r9_o[16] }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[27] ,\u_logic/vis_msp_o[14] }),
.mi({\u_logic/E1miu6 ,\u_logic/Bamiu6 }),
.f({\u_logic/_al_u1407_o ,\u_logic/_al_u772_o }),
.q({\u_logic/vis_r10_o[20] ,\u_logic/vis_r10_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(18758)
EG_PHY_MSLICE #(
//.LUT0("~(D*~C*~(0*~(B*~A)))"),
//.LUT1("~(D*~C*~(1*~(B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011111111),
.INIT_LUT1(16'b1111101111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Wfhax6_reg (
.a({\u_logic/_al_u4471_o ,\u_logic/_al_u4471_o }),
.b({\u_logic/_al_u4498_o ,\u_logic/_al_u4498_o }),
.c({\u_logic/_al_u4663_o ,\u_logic/_al_u4663_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4672_o ,\u_logic/_al_u4672_o }),
.mi({open_n99190,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.q({open_n99196,\u_logic/vis_pc_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(18492)
// ../rtl/topmodule/cortexm0ds_logic.v(18910)
// ../rtl/topmodule/cortexm0ds_logic.v(18905)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Wjuax6_reg|u_logic/Vtuax6_reg (
.a({\u_logic/O16pw6 ,\u_logic/X7now6_lutinv }),
.b({\u_logic/_al_u280_o ,\u_logic/E8now6_lutinv }),
.c({\u_logic/_al_u281_o ,\u_logic/vis_r4_o[24] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u282_o ,\u_logic/vis_r5_o[24] }),
.mi({\u_logic/Zvkiu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/V70iu6 ,\u_logic/O16pw6 }),
.q({\u_logic/vis_r4_o[24] ,\u_logic/vis_r4_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(18910)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(D*C)*~(0*B))"),
//.LUT1("~(A*~(D*C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111010101010101),
.INIT_LUT1(16'b1111110111011101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("SET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Wkipw6_reg (
.a({\u_logic/_al_u2875_o ,\u_logic/_al_u2875_o }),
.b({\u_logic/Pp7iu6 ,\u_logic/Pp7iu6 }),
.c({\u_logic/Kq7iu6 ,\u_logic/Kq7iu6 }),
.clk(clk_pad),
.d({HRDATA[19],HRDATA[19]}),
.mi({open_n99226,HRDATA[3]}),
.sr(cpuresetn),
.q({open_n99232,\u_logic/Wkipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17210)
// ../rtl/topmodule/cortexm0ds_logic.v(18907)
// ../rtl/topmodule/cortexm0ds_logic.v(18906)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Wluax6_reg|u_logic/Wnuax6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/U9now6_lutinv }),
.b({\u_logic/V6now6_lutinv ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r6_o[26] ,\u_logic/vis_r4_o[26] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[26] ,\u_logic/vis_r0_o[26] }),
.mi({\u_logic/Fzkiu6 ,\u_logic/X1liu6 }),
.f({\u_logic/Nj5pw6 ,\u_logic/_al_u292_o }),
.q({\u_logic/vis_r4_o[26] ,\u_logic/vis_r4_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(18907)
// ../rtl/topmodule/cortexm0ds_logic.v(18315)
// ../rtl/topmodule/cortexm0ds_logic.v(19980)
EG_PHY_MSLICE #(
//.LUT0("(C*A*~(~D*~B))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010000010000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Wqdbx6_reg|u_logic/Gkeax6_reg (
.a({\u_logic/_al_u3606_o ,\u_logic/_al_u3632_o }),
.b({\u_logic/_al_u3607_o ,\u_logic/_al_u2623_o }),
.c({\u_logic/_al_u3608_o ,\u_logic/Efdax6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/_al_u3609_o ,\u_logic/Tcjbx6 }),
.mi({\u_logic/B74iu6 ,\u_logic/U64iu6 }),
.f({\u_logic/_al_u3610_o ,\u_logic/_al_u3633_o }),
.q({\u_logic/Wqdbx6 ,\u_logic/Gkeax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18315)
// ../rtl/topmodule/cortexm0ds_logic.v(17479)
// ../rtl/topmodule/cortexm0ds_logic.v(18047)
EG_PHY_MSLICE #(
//.LUT0("~(C*~A*~(D*B))"),
//.LUT1("~(C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111110101111),
.INIT_LUT1(16'b1110111110101111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Wt3qw6_reg|u_logic/Zwnpw6_reg (
.a({\u_logic/_al_u1023_o ,\u_logic/_al_u1026_o }),
.b({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.c({\u_logic/P22iu6 ,\u_logic/Ay1iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Rr3qw6 ,\u_logic/Uunpw6 }),
.q({\u_logic/Wt3qw6 ,\u_logic/Zwnpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17479)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(0*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)))"),
//.LUT1("~(~A*~(1*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1110111111101010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Wwiax6_reg (
.a({\u_logic/_al_u4422_o ,\u_logic/_al_u4422_o }),
.b({\u_logic/_al_u4413_o ,\u_logic/_al_u4413_o }),
.c({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.mi({open_n99291,\u_logic/Xlfpw6 [5]}),
.q({open_n99298,\u_logic/Wwiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18624)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(0*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)))"),
//.LUT1("~(~A*~(1*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1110111111101010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Wyiax6_reg (
.a({\u_logic/_al_u4424_o ,\u_logic/_al_u4424_o }),
.b({\u_logic/_al_u4413_o ,\u_logic/_al_u4413_o }),
.c({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.mi({open_n99310,\u_logic/Xlfpw6 [6]}),
.q({open_n99317,\u_logic/Wyiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18625)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/X1max6_reg (
.a({open_n99318,\u_logic/_al_u538_o }),
.b({open_n99319,\u_logic/Wdyow6 }),
.c({open_n99320,\u_logic/_al_u540_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({open_n99321,\u_logic/_al_u541_o }),
.mi({open_n99332,\u_logic/P4liu6 }),
.f({open_n99334,\u_logic/Tzzhu6 }),
.q({open_n99338,\u_logic/vis_r10_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(18751)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(~D*C)*~(0*B))"),
//.LUT1("~(A*~(~D*C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101010111110101),
.INIT_LUT1(16'b1101110111111101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/X42qw6_reg (
.a({\u_logic/_al_u3003_o ,\u_logic/_al_u3003_o }),
.b({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.c({\u_logic/Wo1iu6 ,\u_logic/Wo1iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u3020_o ,\u_logic/_al_u3020_o }),
.mi({open_n99350,\u_logic/Wc2qw6 }),
.q({open_n99357,\u_logic/X42qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17963)
EG_PHY_MSLICE #(
//.LUT0("(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*~(D)*~(0)+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*~(0)+~(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))*D*0+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*0)"),
//.LUT1("(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*~(D)*~(1)+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*~(1)+~(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))*D*1+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011010100110101),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/X6jpw6_reg (
.a({\u_logic/_al_u1177_o ,\u_logic/_al_u1177_o }),
.b({\u_logic/_al_u1328_o ,\u_logic/_al_u1328_o }),
.c({\u_logic/_al_u1307_o ,\u_logic/_al_u1307_o }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/B74iu6 ,\u_logic/B74iu6 }),
.mi({open_n99368,\u_logic/Wvgax6 }),
.fx({open_n99373,HWDATA[20]}),
.q({open_n99374,\u_logic/X6jpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17230)
// ../rtl/topmodule/cortexm0ds_logic.v(17676)
// ../rtl/topmodule/cortexm0ds_logic.v(17642)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/X7spw6_reg|u_logic/Z9tpw6_reg (
.a({\u_logic/Wanow6_lutinv ,\u_logic/Cpqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r7_o[25] ,\u_logic/vis_r0_o[24] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[25] ,\u_logic/vis_r5_o[24] }),
.mi({\u_logic/Sokiu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u286_o ,\u_logic/_al_u550_o }),
.q({\u_logic/vis_r5_o[6] ,\u_logic/vis_r5_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(17676)
// ../rtl/topmodule/cortexm0ds_logic.v(19813)
// ../rtl/topmodule/cortexm0ds_logic.v(18164)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1100000011110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xc9ax6_reg|u_logic/Tc9bx6_reg (
.a({open_n99393,\u_logic/Zt1iu6 }),
.b({\u_logic/_al_u3380_o ,\u_logic/Iv1iu6 }),
.c({\u_logic/vis_pc_o[1] ,\u_logic/Npaax6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/_al_u2759_o ,\u_logic/vis_pc_o[4] }),
.mi({\u_logic/N64iu6 ,\u_logic/Xi4iu6 }),
.f({\u_logic/Oz0iu6_lutinv ,\u_logic/_al_u3232_o }),
.q({\u_logic/Xc9ax6 ,\u_logic/Tc9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19813)
EG_PHY_MSLICE #(
//.LUT0("~(~(0*D*C)*~(~B*~A))"),
//.LUT1("~(~(1*D*C)*~(~B*~A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000100010001),
.INIT_LUT1(16'b1111000100010001),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Xfiax6_reg (
.a({\u_logic/_al_u1138_o ,\u_logic/_al_u1138_o }),
.b({\u_logic/_al_u1157_o ,\u_logic/_al_u1157_o }),
.c({\u_logic/_al_u164_o ,\u_logic/_al_u164_o }),
.ce(\u_logic/n602 ),
.clk(clk_pad),
.d({\u_logic/_al_u976_o ,\u_logic/_al_u976_o }),
.mi({open_n99418,\u_logic/Iixpw6 }),
.sr(cpuresetn),
.fx({open_n99422,\u_logic/Oy8iu6 }),
.q({open_n99423,\u_logic/vis_primask_o })); // ../rtl/topmodule/cortexm0ds_logic.v(18586)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111010000110000),
.INIT_LUT1(16'b1111111010111010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xn7ax6_reg (
.a({\u_logic/Oa4iu6 ,\u_logic/Oa4iu6 }),
.b({\u_logic/n394 ,\u_logic/n394 }),
.c({\u_logic/Bk7ax6 ,\u_logic/Bk7ax6 }),
.clk(clk_pad),
.d({\u_logic/Xn7ax6 ,\u_logic/Xn7ax6 }),
.mi({open_n99435,\u_logic/Vrkbx6 [3]}),
.q({open_n99442,\u_logic/Xn7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18102)
// ../rtl/topmodule/cortexm0ds_logic.v(19116)
// ../rtl/topmodule/cortexm0ds_logic.v(19128)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Xozax6_reg|u_logic/Vkzax6_reg (
.a({\u_logic/_al_u2220_o ,\u_logic/_al_u3102_o }),
.b({\u_logic/_al_u2221_o ,\u_logic/M6eiu6 }),
.c({\u_logic/_al_u2222_o ,\u_logic/Q0fiu6 }),
.ce(\u_logic/n987 ),
.clk(clk_pad),
.d({\u_logic/_al_u2223_o ,\u_logic/D7gbx6 }),
.e({open_n99443,\u_logic/Jdgbx6 }),
.mi({HWDATA[14],HWDATA[23]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2224_o ,\u_logic/_al_u3103_o }),
.q({\u_logic/Xozax6 ,\u_logic/Vkzax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19116)
// ../rtl/topmodule/cortexm0ds_logic.v(17909)
// ../rtl/topmodule/cortexm0ds_logic.v(17908)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xozpw6_reg|u_logic/Wqzpw6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/Dfqow6 }),
.b({\u_logic/_al_u745_o ,\u_logic/Hhqow6 }),
.c({\u_logic/vis_psp_o[1] ,\u_logic/vis_r12_o[31] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[3] ,\u_logic/Usnpw6 }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u915_o ,\u_logic/_al_u1399_o }),
.q({\u_logic/vis_msp_o[1] ,\u_logic/vis_msp_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(17909)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xpxax6_reg (
.a({\u_logic/_al_u2537_o ,\u_logic/_al_u2537_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Mjmiu6 ,\u_logic/Mjmiu6 }),
.mi({open_n99488,\u_logic/vis_pc_o[12] }),
.q({open_n99495,\u_logic/Xpxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18967)
// ../rtl/topmodule/cortexm0ds_logic.v(17830)
// ../rtl/topmodule/cortexm0ds_logic.v(17691)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xttpw6_reg|u_logic/P2xpw6_reg (
.a({\u_logic/_al_u580_o ,\u_logic/_al_u255_o }),
.b({\u_logic/_al_u581_o ,\u_logic/_al_u256_o }),
.c({\u_logic/_al_u582_o ,\u_logic/_al_u257_o }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u583_o ,\u_logic/_al_u258_o }),
.mi({\u_logic/Fzkiu6 ,\u_logic/C7miu6 }),
.f({\u_logic/Kyzhu6 ,\u_logic/X80iu6 }),
.q({\u_logic/vis_r0_o[26] ,\u_logic/vis_r0_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(17830)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(0*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)))"),
//.LUT1("~(~A*~(1*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1110111111101010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xuiax6_reg (
.a({\u_logic/_al_u4420_o ,\u_logic/_al_u4420_o }),
.b({\u_logic/_al_u4413_o ,\u_logic/_al_u4413_o }),
.c({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.mi({open_n99521,\u_logic/Xlfpw6 [4]}),
.q({open_n99528,\u_logic/Xuiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18623)
// ../rtl/topmodule/cortexm0ds_logic.v(20013)
// ../rtl/topmodule/cortexm0ds_logic.v(19804)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xv8bx6_reg|u_logic/Tjfbx6_reg (
.c({\u_logic/Xx6bx6 ,\u_logic/Ojebx6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/Q44iu6 ,\u_logic/P74iu6 }),
.q({\u_logic/Xv8bx6 ,\u_logic/Tjfbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20013)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C)"),
//.LUTG0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xvtpw6_reg (
.a({open_n99549,\u_logic/Zrwow6 }),
.b({open_n99550,\u_logic/Wtwow6 }),
.c({open_n99551,\u_logic/_al_u576_o }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({open_n99552,\u_logic/_al_u577_o }),
.mi({open_n99556,\u_logic/Fzkiu6 }),
.f({open_n99569,\u_logic/Ryzhu6 }),
.q({open_n99573,\u_logic/vis_r1_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(17692)
// ../rtl/topmodule/cortexm0ds_logic.v(18750)
// ../rtl/topmodule/cortexm0ds_logic.v(18749)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xxlax6_reg|u_logic/Xzlax6_reg (
.a({\u_logic/_al_u820_o ,\u_logic/_al_u1343_o }),
.b({\u_logic/_al_u821_o ,\u_logic/Ljqow6 }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/Qiqow6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[23] ,\u_logic/vis_r9_o[23] }),
.e({open_n99574,\u_logic/vis_r11_o[23] }),
.mi({\u_logic/Fzkiu6 ,\u_logic/X1liu6 }),
.f({\u_logic/_al_u822_o ,\u_logic/_al_u1344_o }),
.q({\u_logic/vis_r10_o[26] ,\u_logic/vis_r10_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(18750)
// ../rtl/topmodule/cortexm0ds_logic.v(19818)
// ../rtl/topmodule/cortexm0ds_logic.v(18278)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Y5dax6_reg|u_logic/Zl9bx6_reg (
.c({\u_logic/Gc1qw6 ,\u_logic/Q89bx6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/Pl4iu6 ,\u_logic/Xi4iu6 }),
.q({\u_logic/Y5dax6 ,\u_logic/Zl9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19818)
// ../rtl/topmodule/cortexm0ds_logic.v(17216)
// ../rtl/topmodule/cortexm0ds_logic.v(17641)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Y5spw6_reg|u_logic/Uoipw6_reg (
.a({\u_logic/Gkqow6 ,\u_logic/_al_u1391_o }),
.b({\u_logic/Sjqow6 ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r8_o[25] ,\u_logic/Yfqow6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[25] ,\u_logic/vis_psp_o[28] }),
.e({open_n99611,\u_logic/vis_msp_o[28] }),
.mi({\u_logic/Sokiu6 ,\u_logic/K39iu6 }),
.f({\u_logic/_al_u1359_o ,\u_logic/_al_u1392_o }),
.q({\u_logic/vis_r11_o[6] ,\u_logic/vis_r11_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(17216)
// ../rtl/topmodule/cortexm0ds_logic.v(18834)
// ../rtl/topmodule/cortexm0ds_logic.v(18827)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Y8qax6_reg|u_logic/Vmqax6_reg (
.a({\u_logic/F33pw6 ,\u_logic/_al_u184_o }),
.b({\u_logic/X53pw6 ,\u_logic/Cy9pw6 }),
.c({\u_logic/Q53pw6 ,\u_logic/_al_u190_o }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/Y23pw6 ,\u_logic/Rv9pw6 }),
.mi({\u_logic/Rkkiu6 ,\u_logic/Uoliu6 }),
.f({\u_logic/N30iu6 ,\u_logic/Dc0iu6 }),
.q({\u_logic/vis_r2_o[5] ,\u_logic/vis_r2_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(18834)
// ../rtl/topmodule/cortexm0ds_logic.v(19785)
// ../rtl/topmodule/cortexm0ds_logic.v(17704)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Y9upw6_reg|u_logic/Zt7bx6_reg (
.a({\u_logic/J69pw6 ,\u_logic/_al_u219_o }),
.b({\u_logic/_al_u208_o ,\u_logic/_al_u220_o }),
.c({\u_logic/_al_u209_o ,\u_logic/_al_u221_o }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u210_o ,\u_logic/_al_u222_o }),
.mi({\u_logic/Bamiu6 ,\u_logic/Zfmiu6 }),
.f({\u_logic/Bb0iu6 ,\u_logic/Na0iu6 }),
.q({\u_logic/vis_r1_o[17] ,\u_logic/vis_r1_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19785)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ybupw6_reg (
.a({open_n99664,\u_logic/_al_u843_o }),
.b({open_n99665,\u_logic/_al_u844_o }),
.c({open_n99666,\u_logic/Nq4ju6_lutinv }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({open_n99667,\u_logic/vis_r11_o[26] }),
.mi({open_n99678,\u_logic/Bamiu6 }),
.f({open_n99680,\u_logic/_al_u845_o }),
.q({open_n99684,\u_logic/vis_r9_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(17705)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111010000110000),
.INIT_LUT1(16'b1111111010111010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Yf1qw6_reg (
.a({\u_logic/Oa4iu6 ,\u_logic/Oa4iu6 }),
.b({\u_logic/n394 ,\u_logic/n394 }),
.c({\u_logic/Gl1qw6 ,\u_logic/Gl1qw6 }),
.clk(clk_pad),
.d({\u_logic/Yf1qw6 ,\u_logic/Yf1qw6 }),
.mi({open_n99696,\u_logic/Vrkbx6 [10]}),
.q({open_n99703,\u_logic/Yf1qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17940)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Yfuax6_reg (
.a({open_n99704,\u_logic/Oaxow6 }),
.b({open_n99705,\u_logic/_al_u563_o }),
.c({open_n99706,\u_logic/Haxow6 }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({open_n99707,\u_logic/_al_u565_o }),
.mi({open_n99718,\u_logic/Sokiu6 }),
.f({open_n99720,\u_logic/Yyzhu6 }),
.q({open_n99724,\u_logic/vis_r4_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(18903)
// ../rtl/topmodule/cortexm0ds_logic.v(19793)
// ../rtl/topmodule/cortexm0ds_logic.v(17707)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Yfupw6_reg|u_logic/Z98bx6_reg (
.a({\u_logic/Wanow6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/V6now6_lutinv ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r7_o[17] ,\u_logic/vis_r3_o[17] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[17] ,\u_logic/vis_r6_o[17] }),
.mi({\u_logic/Bamiu6 ,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u234_o ,\u_logic/_al_u232_o }),
.q({\u_logic/vis_r5_o[17] ,\u_logic/vis_r5_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19793)
EG_PHY_MSLICE #(
//.LUT0("(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*~(D)*~(0)+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*~(0)+~(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))*D*0+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*0)"),
//.LUT1("(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*~(D)*~(1)+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*~(1)+~(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))*D*1+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101001101010011),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Yjupw6_reg (
.a({\u_logic/_al_u1306_o ,\u_logic/_al_u1306_o }),
.b({\u_logic/_al_u1202_o ,\u_logic/_al_u1202_o }),
.c({\u_logic/_al_u1307_o ,\u_logic/_al_u1307_o }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/G64iu6 ,\u_logic/G64iu6 }),
.mi({open_n99753,\u_logic/Wvgax6 }),
.fx({open_n99758,HWDATA[17]}),
.q({open_n99759,\u_logic/Yjupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17709)
// ../rtl/topmodule/cortexm0ds_logic.v(17672)
// ../rtl/topmodule/cortexm0ds_logic.v(17660)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ynspw6_reg|u_logic/Z1tpw6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/Mnqow6 }),
.b({\u_logic/Xpqow6 ,\u_logic/Voqow6 }),
.c({\u_logic/vis_r3_o[24] ,\u_logic/vis_r4_o[24] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[24] ,\u_logic/vis_r1_o[24] }),
.mi({\u_logic/Zvkiu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u553_o ,\u_logic/_al_u552_o }),
.q({\u_logic/vis_r0_o[24] ,\u_logic/vis_r0_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(17672)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ypspw6_reg (
.a({open_n99778,\u_logic/_al_u285_o }),
.b({open_n99779,\u_logic/_al_u286_o }),
.c({open_n99780,\u_logic/Rs5pw6 }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({open_n99781,\u_logic/_al_u288_o }),
.mi({open_n99785,\u_logic/Zvkiu6 }),
.f({open_n99798,\u_logic/O70iu6 }),
.q({open_n99802,\u_logic/vis_r1_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(17661)
// ../rtl/topmodule/cortexm0ds_logic.v(17640)
// ../rtl/topmodule/cortexm0ds_logic.v(17662)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Yrspw6_reg|u_logic/Z3spw6_reg (
.a({\u_logic/_al_u742_o ,\u_logic/_al_u1350_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Ljqow6 }),
.c({\u_logic/vis_msp_o[23] ,\u_logic/Qiqow6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[25] ,\u_logic/vis_r9_o[24] }),
.e({open_n99803,\u_logic/vis_r11_o[24] }),
.mi({\u_logic/Zvkiu6 ,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u834_o ,\u_logic/_al_u1351_o }),
.q({\u_logic/vis_r9_o[24] ,\u_logic/vis_r9_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17640)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000011),
.INIT_LUT1(16'b1011101110001011),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ysiax6_reg (
.a({\u_logic/_al_u4413_o ,\u_logic/_al_u4413_o }),
.b({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.c({\u_logic/_al_u4418_o ,\u_logic/_al_u4418_o }),
.clk(clk_pad),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.mi({open_n99831,\u_logic/Xlfpw6 [3]}),
.q({open_n99838,\u_logic/Ysiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18622)
// ../rtl/topmodule/cortexm0ds_logic.v(17675)
// ../rtl/topmodule/cortexm0ds_logic.v(17663)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100000101010),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ytspw6_reg|u_logic/Z7tpw6_reg (
.a({\u_logic/Hhqow6 ,\u_logic/_al_u3651_o }),
.b({\u_logic/Ahqow6 ,\u_logic/Y1qow6 }),
.c({\u_logic/vis_r12_o[24] ,\u_logic/H70iu6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[24] ,\u_logic/_al_u307_o }),
.mi({\u_logic/Zvkiu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/Hoxow6 ,\u_logic/_al_u3679_o }),
.q({\u_logic/vis_r11_o[24] ,\u_logic/vis_r11_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(17675)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Yvspw6_reg (
.a({open_n99853,\u_logic/_al_u550_o }),
.b({open_n99854,\u_logic/_al_u551_o }),
.c({open_n99855,\u_logic/_al_u552_o }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({open_n99856,\u_logic/_al_u553_o }),
.mi({open_n99867,\u_logic/Zvkiu6 }),
.f({open_n99869,\u_logic/Fzzhu6 }),
.q({open_n99873,\u_logic/vis_r5_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(17664)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Z47ax6_reg (
.a({\u_logic/_al_u2545_o ,\u_logic/_al_u2545_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Cemiu6 ,\u_logic/Cemiu6 }),
.mi({open_n99885,\u_logic/vis_pc_o[14] }),
.q({open_n99892,\u_logic/Z47ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18087)
// ../rtl/topmodule/cortexm0ds_logic.v(17833)
// ../rtl/topmodule/cortexm0ds_logic.v(19791)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Z58bx6_reg|u_logic/P8xpw6_reg (
.a({\u_logic/_al_u741_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u743_o ,\u_logic/_al_u745_o }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/vis_r14_o[18] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[12] ,\u_logic/vis_psp_o[16] }),
.mi({\u_logic/Zfmiu6 ,\u_logic/C7miu6 }),
.f({\u_logic/_al_u744_o ,\u_logic/_al_u788_o }),
.q({\u_logic/vis_r11_o[15] ,\u_logic/vis_r11_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(17833)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(B*~(0*D*C)))"),
//.LUT1("~(A*~(B*~(1*D*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110111011101),
.INIT_LUT1(16'b0101110111011101),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Z67ax6_reg (
.a({\u_logic/_al_u4285_o ,\u_logic/_al_u4285_o }),
.b({\u_logic/Wo1iu6 ,\u_logic/Wo1iu6 }),
.c({\u_logic/_al_u4297_o ,\u_logic/_al_u4297_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4302_o ,\u_logic/_al_u4302_o }),
.mi({open_n99918,\u_logic/Uvsiu6 }),
.q({open_n99925,\u_logic/Z67ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18088)
// ../rtl/topmodule/cortexm0ds_logic.v(19338)
// ../rtl/topmodule/cortexm0ds_logic.v(19290)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Z71bx6_reg|u_logic/Xo1bx6_reg (
.a({open_n99926,\u_logic/_al_u2038_o }),
.b({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.c({\u_logic/_al_u2030_o ,\u_logic/_al_u2029_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2028_o ,\u_logic/A9row6_lutinv }),
.sr(cpuresetn),
.f({open_n99940,\u_logic/D2phu6 }),
.q({\u_logic/Z71bx6 ,\u_logic/Xo1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19338)
EG_PHY_MSLICE #(
//.LUT0("~(0*C*~A*~(~D*~B))"),
//.LUT1("~(1*C*~A*~(~D*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111111111),
.INIT_LUT1(16'b1010111110111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Z8zpw6_reg (
.a({\u_logic/_al_u4693_o ,\u_logic/_al_u4693_o }),
.b({\u_logic/_al_u4473_o ,\u_logic/_al_u4473_o }),
.c({\u_logic/_al_u4696_o ,\u_logic/_al_u4696_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/Hlziu6 ,\u_logic/Hlziu6 }),
.mi({open_n99954,\u_logic/_al_u3835_o }),
.fx({open_n99959,\u_logic/Zvkiu6 }),
.q({open_n99960,\u_logic/vis_psp_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(17900)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*~(0*~(~B*A))))"),
//.LUT1("(~D*~(C*~(1*~(~B*A))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000011011111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Z9opw6_reg (
.a({\u_logic/_al_u2839_o ,\u_logic/_al_u2839_o }),
.b({\u_logic/_al_u2840_o ,\u_logic/_al_u2840_o }),
.c({\u_logic/_al_u2841_o ,\u_logic/_al_u2841_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2843_o ,\u_logic/_al_u2843_o }),
.mi({open_n99972,\u_logic/Kqhbx6 }),
.sr(cpuresetn),
.q({open_n99978,\u_logic/Z9opw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17497)
// ../rtl/topmodule/cortexm0ds_logic.v(17902)
// ../rtl/topmodule/cortexm0ds_logic.v(17901)
EG_PHY_MSLICE #(
//.LUT0("~(C*D)"),
//.LUT1("~(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111111111),
.INIT_LUT1(16'b0000111111111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Zazpw6_reg|u_logic/Zczpw6_reg (
.c({\u_logic/_al_u3860_o ,\u_logic/_al_u3856_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u4703_o ,\u_logic/_al_u4707_o }),
.f({\u_logic/Fzkiu6 ,\u_logic/X1liu6 }),
.q({\u_logic/vis_psp_o[24] ,\u_logic/vis_psp_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(17902)
EG_PHY_MSLICE #(
//.LUT0("~(0*C*~A*~(~D*~B))"),
//.LUT1("~(1*C*~A*~(~D*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111111111),
.INIT_LUT1(16'b1010111110111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Zbtpw6_reg (
.a({\u_logic/_al_u4693_o ,\u_logic/_al_u4693_o }),
.b({\u_logic/_al_u4489_o ,\u_logic/_al_u4489_o }),
.c({\u_logic/_al_u4699_o ,\u_logic/_al_u4699_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/Hlziu6 ,\u_logic/Hlziu6 }),
.mi({open_n100009,\u_logic/_al_u3864_o }),
.fx({open_n100014,\u_logic/Hsliu6 }),
.q({open_n100015,\u_logic/vis_psp_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(17677)
// ../rtl/topmodule/cortexm0ds_logic.v(19731)
// ../rtl/topmodule/cortexm0ds_logic.v(18486)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*~(B*~A)))"),
//.LUTF1("~(B*~(C*~D))"),
//.LUTG0("~(C*~(D*~(B*~A)))"),
//.LUTG1("~(B*~(C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111100001111),
.INIT_LUTF1(16'b0011001111110011),
.INIT_LUTG0(16'b1011111100001111),
.INIT_LUTG1(16'b0011001111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Zdhax6_reg|u_logic/Mw5bx6_reg (
.a({open_n100016,\u_logic/_al_u4574_o }),
.b({\u_logic/_al_u4675_o ,\u_logic/_al_u4597_o }),
.c({\u_logic/Zgziu6_lutinv ,\u_logic/_al_u4771_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4510_o ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.q({\u_logic/vis_pc_o[17] ,\u_logic/vis_pc_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(19731)
// ../rtl/topmodule/cortexm0ds_logic.v(17313)
// ../rtl/topmodule/cortexm0ds_logic.v(17679)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("~(A*~(C*~(D*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0111010111110101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Zdtpw6_reg|u_logic/Oxkpw6_reg (
.a({\u_logic/Ag5iu6 ,HWDATA[24]}),
.b({HWDATA[25],\u_logic/O59iu6_lutinv }),
.c({\u_logic/_al_u1947_o ,\u_logic/Oxkpw6 }),
.clk(clk_pad),
.d({\u_logic/Ch5iu6_lutinv ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.q({\u_logic/Zdtpw6 ,\u_logic/Oxkpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17313)
// ../rtl/topmodule/cortexm0ds_logic.v(18893)
// ../rtl/topmodule/cortexm0ds_logic.v(19796)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111111101001100),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Zf8bx6_reg|u_logic/Ewtax6_reg (
.a({open_n100057,\u_logic/_al_u845_o }),
.b({open_n100058,\u_logic/_al_u673_o }),
.c({\u_logic/_al_u3731_o ,\u_logic/H70iu6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Z1miu6 ,\u_logic/F8cbx6 }),
.mi({\u_logic/Zfmiu6 ,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u3732_o ,\u_logic/_al_u846_o }),
.q({\u_logic/vis_r12_o[15] ,\u_logic/vis_r12_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(18893)
// ../rtl/topmodule/cortexm0ds_logic.v(18118)
// ../rtl/topmodule/cortexm0ds_logic.v(19799)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0111111101001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Zl8bx6_reg|u_logic/S98ax6_reg (
.a({\u_logic/_al_u852_o ,\u_logic/Dfqow6 }),
.b({\u_logic/_al_u673_o ,\u_logic/Ahqow6 }),
.c({\u_logic/A70iu6 ,\u_logic/Fjdbx6 }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/Nybbx6 ,\u_logic/vis_r14_o[20] }),
.mi({\u_logic/Zfmiu6 ,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u853_o ,\u_logic/_al_u1327_o }),
.q({\u_logic/vis_psp_o[13] ,\u_logic/vis_psp_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(18118)
// ../rtl/topmodule/cortexm0ds_logic.v(18891)
// ../rtl/topmodule/cortexm0ds_logic.v(19800)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTF1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111101001100),
.INIT_LUTF1(16'b0111111101001100),
.INIT_LUTG0(16'b0111111101001100),
.INIT_LUTG1(16'b0111111101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Zn8bx6_reg|u_logic/Estax6_reg (
.a({\u_logic/_al_u782_o ,\u_logic/_al_u747_o }),
.b({\u_logic/_al_u673_o ,\u_logic/_al_u673_o }),
.c({\u_logic/Z90iu6 ,\u_logic/Ib0iu6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Pbbbx6 ,\u_logic/Dm6bx6 }),
.mi({\u_logic/Vrmiu6 ,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u783_o ,\u_logic/_al_u748_o }),
.q({\u_logic/vis_r12_o[11] ,\u_logic/vis_r12_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(18891)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000011),
.INIT_LUT1(16'b1011101110001011),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Zqiax6_reg (
.a({\u_logic/_al_u4413_o ,\u_logic/_al_u4413_o }),
.b({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.c({\u_logic/_al_u4416_o ,\u_logic/_al_u4416_o }),
.clk(clk_pad),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.mi({open_n100116,\u_logic/Xlfpw6 [2]}),
.q({open_n100123,\u_logic/Zqiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18621)
// ../rtl/topmodule/cortexm0ds_logic.v(17452)
// ../rtl/topmodule/cortexm0ds_logic.v(19784)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Zr7bx6_reg|u_logic/E9npw6_reg (
.a({\u_logic/_al_u231_o ,\u_logic/Dmqow6 }),
.b({\u_logic/_al_u232_o ,\u_logic/Fnqow6 }),
.c({\u_logic/_al_u233_o ,\u_logic/vis_r2_o[17] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u234_o ,\u_logic/vis_r5_o[17] }),
.mi({\u_logic/Zfmiu6 ,\u_logic/Jlmiu6 }),
.f({\u_logic/Z90iu6 ,\u_logic/_al_u504_o }),
.q({\u_logic/vis_r0_o[15] ,\u_logic/vis_r0_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(17452)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(0*C)*~(B*~A))"),
//.LUT1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010011111111),
.INIT_LUT1(16'b1111010011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ztgbx6_reg (
.a({\u_logic/_al_u2509_o ,\u_logic/_al_u2509_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Kv9iu6 ,\u_logic/Kv9iu6 }),
.mi({open_n100153,\u_logic/vis_pc_o[22] }),
.q({open_n100160,\u_logic/Ztgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20096)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Zv7bx6_reg (
.a({open_n100161,\u_logic/_al_u878_o }),
.b({open_n100162,\u_logic/_al_u879_o }),
.c({open_n100163,\u_logic/Gq4ju6_lutinv }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({open_n100164,\u_logic/vis_r12_o[6] }),
.mi({open_n100175,\u_logic/Zfmiu6 }),
.f({open_n100177,\u_logic/_al_u880_o }),
.q({open_n100181,\u_logic/vis_r2_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19786)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("R2"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.TSMUX("1"))
\u_logic/_al_u0 (
.ipad(SWCLK),
.di(\u_logic/SWCLKTCK_pad )); // ../rtl/topmodule/cortexm0ds_logic.v(79)
// ../rtl/topmodule/cortexm0ds_logic.v(17826)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C*D))"),
//.LUTF1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG0("~(B*~(C*D))"),
//.LUTG1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001100110011),
.INIT_LUTF1(16'b0010111000111111),
.INIT_LUTG0(16'b1111001100110011),
.INIT_LUTG1(16'b0010111000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1000|u_logic/Puwpw6_reg (
.a({\u_logic/_al_u633_o ,open_n100199}),
.b({\u_logic/_al_u657_o ,\u_logic/_al_u1000_o }),
.c({\u_logic/Ldvpw6 ,\u_logic/Kswpw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Puwpw6 ,\u_logic/Vk1iu6 }),
.f({\u_logic/_al_u1000_o ,open_n100218}),
.q({open_n100222,\u_logic/Puwpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17826)
// ../rtl/topmodule/cortexm0ds_logic.v(17774)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*D))"),
//.LUT1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001100110011),
.INIT_LUT1(16'b0010001111101111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1008|u_logic/Ldvpw6_reg (
.a({\u_logic/_al_u633_o ,open_n100223}),
.b({\u_logic/_al_u657_o ,\u_logic/_al_u1002_o }),
.c({\u_logic/Bcdbx6 ,\u_logic/Gbvpw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Kadbx6 ,\u_logic/Vk1iu6 }),
.f({\u_logic/_al_u1008_o ,open_n100238}),
.q({open_n100242,\u_logic/Ldvpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17774)
// ../rtl/topmodule/cortexm0ds_logic.v(19943)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~A*~(D*B))"),
//.LUTF1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG0("~(C*~A*~(D*B))"),
//.LUTG1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111110101111),
.INIT_LUTF1(16'b0010111000111111),
.INIT_LUTG0(16'b1110111110101111),
.INIT_LUTG1(16'b0010111000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1012|u_logic/S2cbx6_reg (
.a({\u_logic/_al_u633_o ,\u_logic/_al_u985_o }),
.b({\u_logic/_al_u657_o ,\u_logic/Vk1iu6 }),
.c({\u_logic/Kn2qw6 ,\u_logic/G82iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Stkpw6 ,\u_logic/N0cbx6 }),
.f({\u_logic/Oc2iu6 ,open_n100261}),
.q({open_n100265,\u_logic/S2cbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19943)
// ../rtl/topmodule/cortexm0ds_logic.v(17405)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0010111000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1014|u_logic/O1mpw6_reg (
.a({\u_logic/_al_u633_o ,open_n100266}),
.b({\u_logic/_al_u657_o ,open_n100267}),
.c({\u_logic/J4cbx6 ,\u_logic/_al_u131_o }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Kn2qw6 ,\u_logic/V34iu6 }),
.mi({open_n100278,\u_logic/Yzlpw6 }),
.f({\u_logic/Fb2iu6 ,\u_logic/M24iu6 }),
.q({open_n100283,\u_logic/O1mpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17405)
// ../rtl/topmodule/cortexm0ds_logic.v(17492)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0010001111101111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1017|u_logic/D2opw6_reg (
.a({\u_logic/_al_u633_o ,open_n100284}),
.b({\u_logic/_al_u657_o ,\u_logic/Vk1iu6 }),
.c({\u_logic/J4cbx6 ,\u_logic/Ceabx6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/S2cbx6 ,\u_logic/_al_u1125_o }),
.f({\u_logic/P92iu6 ,open_n100299}),
.q({open_n100303,\u_logic/D2opw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17492)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u101|u_logic/_al_u3024 (
.b({open_n100306,\u_logic/X4wiu6_lutinv }),
.c({\u_logic/Nkwiu6 ,\u_logic/Hw8ax6 }),
.d({\u_logic/Vuciu6 ,\u_logic/_al_u108_o }),
.f({\u_logic/Eg7iu6 ,\u_logic/_al_u3024_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17590)
EG_PHY_MSLICE #(
//.LUT0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010001111101111),
.INIT_LUT1(16'b0010001111101111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1027|u_logic/Xxqpw6_reg (
.a({\u_logic/_al_u633_o ,\u_logic/_al_u633_o }),
.b({\u_logic/_al_u657_o ,\u_logic/_al_u657_o }),
.c({\u_logic/C72qw6 ,\u_logic/Qa1qw6 }),
.clk(clk_pad),
.d({\u_logic/Zwnpw6 ,\u_logic/Qj1qw6 }),
.mi({open_n100338,\u_logic/Xvqpw6 }),
.sr(RSTn_pad),
.f({\u_logic/S02iu6 ,\u_logic/_al_u1039_o }),
.q({open_n100342,\u_logic/Xxqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17590)
// ../rtl/topmodule/cortexm0ds_logic.v(18990)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0010111000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1029|u_logic/Pdyax6_reg (
.a({\u_logic/_al_u633_o ,open_n100343}),
.b({\u_logic/_al_u657_o ,\u_logic/_al_u1066_o }),
.c({\u_logic/Rilpw6 ,\u_logic/_al_u1079_o }),
.clk(clk_pad),
.d({\u_logic/Zwnpw6 ,\u_logic/_al_u2352_o }),
.sr(cpuresetn),
.f({\u_logic/Ay1iu6 ,\u_logic/Npghu6 }),
.q({open_n100360,\u_logic/Pdyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18990)
// ../rtl/topmodule/cortexm0ds_logic.v(17972)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u102|u_logic/Wc2qw6_reg (
.b({open_n100363,\u_logic/Ar1iu6 }),
.c({\u_logic/Dg2qw6 ,\u_logic/Xrxax6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Eg7iu6 ,\u_logic/_al_u3272_o }),
.mi({open_n100374,\u_logic/T94iu6 }),
.f({\u_logic/n531 ,\u_logic/_al_u3273_o }),
.q({open_n100379,\u_logic/Wc2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17972)
// ../rtl/topmodule/cortexm0ds_logic.v(17404)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*B)*~(0*A))"),
//.LUTF1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG0("~(C*~(D*B)*~(1*A))"),
//.LUTG1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100111100001111),
.INIT_LUTF1(16'b0010111000111111),
.INIT_LUTG0(16'b1110111110101111),
.INIT_LUTG1(16'b0010111000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1031|u_logic/Yzlpw6_reg (
.a({\u_logic/_al_u633_o ,\u_logic/Cl1iu6 }),
.b({\u_logic/_al_u657_o ,\u_logic/Vk1iu6 }),
.c({\u_logic/Qa1qw6 ,\u_logic/Tj1iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Yzlpw6 ,\u_logic/Nckbx6 }),
.e({open_n100381,\u_logic/Ry2qw6 }),
.f({\u_logic/Tj1iu6 ,open_n100397}),
.q({open_n100401,\u_logic/Yzlpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17404)
// ../rtl/topmodule/cortexm0ds_logic.v(17720)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(~D*~(B*~A)))"),
//.LUT1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111110111111),
.INIT_LUT1(16'b0010111000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1041|u_logic/Ztupw6_reg (
.a({\u_logic/_al_u633_o ,\u_logic/_al_u4007_o }),
.b({\u_logic/_al_u657_o ,\u_logic/_al_u4014_o }),
.c({\u_logic/L0ypw6 ,\u_logic/_al_u4017_o }),
.clk(clk_pad),
.d({\u_logic/Qj1qw6 ,\u_logic/_al_u2968_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u1041_o ,open_n100415}),
.q({open_n100419,\u_logic/Ztupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17720)
// ../rtl/topmodule/cortexm0ds_logic.v(19973)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~A*~(D*B))"),
//.LUTF1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG0("~(C*~A*~(D*B))"),
//.LUTG1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111110101111),
.INIT_LUTF1(16'b0010111000111111),
.INIT_LUTG0(16'b1110111110101111),
.INIT_LUTG1(16'b0010111000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1043|u_logic/Sddbx6_reg (
.a({\u_logic/_al_u633_o ,\u_logic/_al_u985_o }),
.b({\u_logic/_al_u657_o ,\u_logic/Vk1iu6 }),
.c({\u_logic/Gw6bx6 ,\u_logic/Ig2iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/L0ypw6 ,\u_logic/Jhebx6 }),
.f({\u_logic/Gt2iu6 ,open_n100438}),
.q({open_n100442,\u_logic/Sddbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19973)
EG_PHY_LSLICE #(
//.LUTF0("(~(B)*~(C)*~(D)*~((~0*~A))+B*~(C)*~(D)*~((~0*~A))+~(B)*C*~(D)*~((~0*~A))+B*C*~(D)*~((~0*~A))+~(B)*~(C)*D*~((~0*~A))+B*~(C)*D*~((~0*~A))+~(B)*C*D*~((~0*~A))+B*~(C)*~(D)*(~0*~A)+B*C*~(D)*(~0*~A)+~(B)*~(C)*D*(~0*~A)+B*~(C)*D*(~0*~A)+~(B)*C*D*(~0*~A))"),
//.LUTF1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("(~(B)*~(C)*~(D)*~((~1*~A))+B*~(C)*~(D)*~((~1*~A))+~(B)*C*~(D)*~((~1*~A))+B*C*~(D)*~((~1*~A))+~(B)*~(C)*D*~((~1*~A))+B*~(C)*D*~((~1*~A))+~(B)*C*D*~((~1*~A))+B*~(C)*~(D)*(~1*~A)+B*C*~(D)*(~1*~A)+~(B)*~(C)*D*(~1*~A)+B*~(C)*D*(~1*~A)+~(B)*C*D*(~1*~A))"),
//.LUTG1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.INIT_LUTF0(16'b0011111111101110),
.INIT_LUTF1(16'b0010001111101111),
.INIT_LUTG0(16'b0011111111111111),
.INIT_LUTG1(16'b0010001111101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1045|u_logic/_al_u2935 (
.a({\u_logic/_al_u633_o ,\u_logic/_al_u2776_o }),
.b({\u_logic/_al_u657_o ,\u_logic/Nd3qw6 }),
.c({\u_logic/Gw6bx6 ,\u_logic/Pg3qw6 }),
.d({\u_logic/Wq8ax6 ,\u_logic/Vn9bx6 }),
.e({open_n100445,\u_logic/Yf1qw6 }),
.f({\u_logic/_al_u1045_o ,\u_logic/_al_u2935_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17387)
EG_PHY_LSLICE #(
//.LUTF0("(~(~(~D*~C)*B)*~(0*A))"),
//.LUTF1("(~(~(0*~C)*B)*~(D*A))"),
//.LUTG0("(~(~(~D*~C)*B)*~(1*A))"),
//.LUTG1("(~(~(1*~C)*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100111111),
.INIT_LUTF1(16'b0001000100110011),
.INIT_LUTG0(16'b0001000100010101),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1047|u_logic/Oulpw6_reg (
.a({\u_logic/Vk1iu6 ,\u_logic/Fsdiu6 }),
.b({\u_logic/Y93iu6 ,\u_logic/P0biu6 }),
.c({\u_logic/Oulpw6 ,\u_logic/_al_u2251_o }),
.ce(\u_logic/n327 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vefax6 ,\u_logic/_al_u2253_o }),
.e({\u_logic/Vplpw6 ,\u_logic/Xrgiu6 }),
.mi({open_n100467,\u_logic/L5lpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/W83iu6 ,\u_logic/Qh5iu6 }),
.q({open_n100482,\u_logic/Oulpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17387)
EG_PHY_LSLICE #(
//.LUTF0("(C*A*(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*A*(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1010000010000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u104|u_logic/_al_u2233 (
.a({open_n100483,\u_logic/_al_u1931_o }),
.b({open_n100484,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/C1wpw6 ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/I3fiu6 ,\u_logic/Slyax6 }),
.e({open_n100487,\u_logic/Wpyax6 }),
.f({\u_logic/n1116 ,\u_logic/_al_u2233_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1050|u_logic/_al_u1712 (
.a({\u_logic/Ahlpw6 ,\u_logic/Pmlpw6 }),
.b({\u_logic/Pmlpw6 ,\u_logic/Rilpw6 }),
.c({\u_logic/Sdlpw6 ,\u_logic/Sdlpw6 }),
.d({\u_logic/Yklpw6 ,\u_logic/Yklpw6 }),
.f({\u_logic/_al_u1050_o ,\u_logic/_al_u1712_o }));
EG_PHY_LSLICE #(
//.LUTF0("~((~0*D*C)*~(B)*~(A)+(~0*D*C)*B*~(A)+~((~0*D*C))*B*A+(~0*D*C)*B*A)"),
//.LUTF1("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("~((~1*D*C)*~(B)*~(A)+(~1*D*C)*B*~(A)+~((~1*D*C))*B*A+(~1*D*C)*B*A)"),
//.LUTG1("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b0010011101110111),
.INIT_LUTF1(16'b0101010000010000),
.INIT_LUTG0(16'b0111011101110111),
.INIT_LUTG1(16'b0101010000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1051|u_logic/_al_u1216 (
.a({\u_logic/_al_u1050_o ,\u_logic/_al_u617_o }),
.b({\u_logic/Ahlpw6 ,\u_logic/A1zhu6_lutinv }),
.c({\u_logic/Pmlpw6 ,\u_logic/V8zhu6_lutinv }),
.d({\u_logic/Rilpw6 ,\u_logic/Jflpw6 }),
.e({open_n100530,\u_logic/Y8lpw6 }),
.f({\u_logic/T8yhu6_lutinv ,\u_logic/_al_u1216_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b1111111111110111),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1110110111011111),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1053|u_logic/_al_u1707 (
.a({open_n100551,\u_logic/Bclpw6 }),
.b({\u_logic/Kalpw6 ,\u_logic/Jflpw6 }),
.c({\u_logic/Sdlpw6 ,\u_logic/Kalpw6 }),
.d({\u_logic/Jflpw6 ,\u_logic/Sdlpw6 }),
.e({open_n100554,\u_logic/Yklpw6 }),
.f({\u_logic/_al_u1053_o ,\u_logic/Reyhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~0*C*~(~B*~(D*A)))"),
//.LUT1("(~1*C*~(~B*~(D*A)))"),
.INIT_LUT0(16'b1110000011000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1055 (
.a({\u_logic/W6yhu6_lutinv ,\u_logic/W6yhu6_lutinv }),
.b({\u_logic/_al_u655_o ,\u_logic/_al_u655_o }),
.c({\u_logic/U5yhu6 ,\u_logic/U5yhu6 }),
.d({\u_logic/Bclpw6 ,\u_logic/Bclpw6 }),
.mi({open_n100587,\u_logic/Yklpw6 }),
.fx({open_n100592,\u_logic/_al_u1055_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b1111111011101000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b1111111111111110),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1057|u_logic/_al_u1675 (
.a({\u_logic/_al_u718_o ,\u_logic/Iekax6 }),
.b({\u_logic/Fkrpw6 ,\u_logic/Lgkax6 }),
.c({\u_logic/Umkax6 ,\u_logic/Oikax6 }),
.d({\u_logic/V6jax6 ,\u_logic/Rkkax6 }),
.e({open_n100597,\u_logic/Ubypw6 }),
.f({\u_logic/Eoyiu6_lutinv ,\u_logic/_al_u1675_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~A*~(D*C*B))"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0001010101010101),
.MODE("LOGIC"))
\u_logic/_al_u1058|u_logic/_al_u161 (
.a({\u_logic/Eoyiu6_lutinv ,open_n100618}),
.b({\u_logic/_al_u395_o ,open_n100619}),
.c({\u_logic/P5vpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Ubypw6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u1058_o ,\u_logic/_al_u161_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(0*C)*~(D*~A))"),
//.LUTF1("(~A*~(D*C)*~(0*B))"),
//.LUTG0("(B*~(1*C)*~(D*~A))"),
//.LUTG1("(~A*~(D*C)*~(1*B))"),
.INIT_LUTF0(16'b1000100011001100),
.INIT_LUTF1(16'b0000010101010101),
.INIT_LUTG0(16'b0000100000001100),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1060|u_logic/_al_u2059 (
.a({\u_logic/_al_u1059_o ,\u_logic/Hm7ow6_lutinv }),
.b({\u_logic/A95iu6_lutinv ,\u_logic/_al_u2058_o }),
.c({\u_logic/_al_u162_o ,\u_logic/Cbbiu6_lutinv }),
.d({\u_logic/Rkkax6 ,\u_logic/Jgxpw6 }),
.e({\u_logic/Wkipw6 ,\u_logic/Rwjax6 }),
.f({\u_logic/_al_u1060_o ,\u_logic/Itbow6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1064|u_logic/_al_u1072 (
.a({open_n100662,\u_logic/_al_u165_o }),
.b({open_n100663,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/Yecpw6_lutinv ,\u_logic/_al_u160_o }),
.d({\u_logic/_al_u1062_o ,\u_logic/D6kiu6_lutinv }),
.f({\u_logic/_al_u1064_o ,\u_logic/_al_u1072_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C*B)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(A*~(~D*~(C*B)))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1010101010000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1010101010000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1065|u_logic/_al_u2365 (
.a({open_n100684,\u_logic/_al_u2112_o }),
.b({open_n100685,\u_logic/Pthiu6 }),
.c({\u_logic/Ae0iu6_lutinv ,\u_logic/Owoiu6 }),
.d({\u_logic/_al_u1064_o ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1065_o ,\u_logic/_al_u2365_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~C*B*~(D*~A)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(1*~(~C*B*~(D*~A)))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111011111110011),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1066|u_logic/_al_u2632 (
.a({open_n100710,\u_logic/P0biu6 }),
.b({open_n100711,\u_logic/_al_u2630_o }),
.c({\u_logic/Vzupw6 ,\u_logic/_al_u2631_o }),
.d({\u_logic/_al_u1065_o ,\u_logic/_al_u2253_o }),
.e({open_n100714,\u_logic/F9vpw6 }),
.f({\u_logic/_al_u1066_o ,\u_logic/_al_u2632_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*C*~(B*A)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*~(1*C*~(B*A)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000111100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1067|u_logic/_al_u4323 (
.a({open_n100735,\u_logic/_al_u4253_o }),
.b({open_n100736,\u_logic/H8low6_lutinv }),
.c({\u_logic/vis_ipsr_o[1] ,\u_logic/_al_u4314_o }),
.d({\u_logic/vis_ipsr_o[0] ,\u_logic/_al_u4322_o }),
.e({open_n100739,\u_logic/_al_u1942_o }),
.f({\u_logic/_al_u1067_o ,\u_logic/_al_u4323_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17584)
EG_PHY_MSLICE #(
//.LUT0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUT1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010111000111111),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1068|u_logic/Xvqpw6_reg (
.a({open_n100760,\u_logic/_al_u633_o }),
.b({\u_logic/H9row6_lutinv ,\u_logic/_al_u657_o }),
.c({\u_logic/_al_u1067_o ,\u_logic/Bx2qw6 }),
.clk(clk_pad),
.d({\u_logic/M8row6_lutinv ,\u_logic/Li7ax6 }),
.mi({open_n100772,\u_logic/Utqpw6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u1068_o ,\u_logic/Yg3iu6 }),
.q({open_n100776,\u_logic/Xvqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17584)
// ../rtl/topmodule/cortexm0ds_logic.v(20257)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(D*~(C*B)))"),
//.LUT1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111111101010101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1069|u_logic/Lmkbx6_reg (
.a({open_n100777,\u_logic/_al_u2804_o }),
.b({\u_logic/_al_u1068_o ,\u_logic/Scbiu6 }),
.c({\u_logic/Hdfax6 ,\u_logic/T24iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1066_o ,\u_logic/Lmkbx6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u1069_o ,open_n100791}),
.q({open_n100795,\u_logic/Lmkbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20257)
EG_PHY_MSLICE #(
//.LUT0("(D*~(~A*~(C*B)))"),
.INIT_LUT0(16'b1110101000000000),
.MODE("LOGIC"))
\u_logic/_al_u1070 (
.a({open_n100796,\u_logic/_al_u1069_o }),
.b({open_n100797,\u_logic/_al_u414_o }),
.c({open_n100798,\u_logic/Eafax6 }),
.d({open_n100801,\u_logic/Gr2qw6 }),
.f({open_n100815,\u_logic/_al_u1070_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1074|u_logic/_al_u643 (
.c({\u_logic/Pu1ju6_lutinv ,\u_logic/Ydopw6 }),
.d({\u_logic/_al_u413_o ,\u_logic/_al_u164_o }),
.f({\u_logic/_al_u1074_o ,\u_logic/Qe8iu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1076|u_logic/_al_u1789 (
.c({\u_logic/R3vpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/_al_u154_o ,\u_logic/_al_u1788_o }),
.f({\u_logic/_al_u1076_o ,\u_logic/_al_u1789_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18057)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*C))"),
//.LUT1("~((B*A)*~(C)*~(D)+(B*A)*C*~(D)+~((B*A))*C*D+(B*A)*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011101110111),
.INIT_LUT1(16'b0000111101110111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1080|u_logic/P14qw6_reg (
.a({\u_logic/Uzaiu6 ,\u_logic/_al_u2908_o }),
.b({\u_logic/_al_u1079_o ,\u_logic/U28iu6 }),
.c({\u_logic/F9vpw6 ,\u_logic/Uy4iu6 }),
.clk(clk_pad),
.d({\u_logic/I8lax6 ,\u_logic/Sgjax6 }),
.sr(cpuresetn),
.f({\u_logic/Bofiu6_lutinv ,open_n100886}),
.q({open_n100890,\u_logic/P14qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18057)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*~A)"),
//.LUT1("(C*~B*~D)"),
.INIT_LUT0(16'b0100000000000000),
.INIT_LUT1(16'b0000000000110000),
.MODE("LOGIC"))
\u_logic/_al_u1083|u_logic/_al_u4722 (
.a({open_n100891,\u_logic/_al_u2351_o }),
.b({\u_logic/I8lax6 ,\u_logic/_al_u4304_o }),
.c({\u_logic/Vygax6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/n3724 ,\u_logic/Vzjpw6 }),
.f({\u_logic/_al_u1083_o ,\u_logic/Xibiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~A*~(0*D))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~C*~B*~A*~(1*D))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000100000001),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1086|u_logic/_al_u4032 (
.a({open_n100912,\u_logic/_al_u1665_o }),
.b({open_n100913,\u_logic/_al_u1677_o }),
.c({\u_logic/_al_u961_o ,\u_logic/_al_u1811_o }),
.d({\u_logic/_al_u960_o ,\u_logic/N3ziu6 }),
.e({open_n100916,\u_logic/_al_u147_o }),
.f({\u_logic/_al_u1086_o ,\u_logic/_al_u4032_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~A*~(0*D))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*B*~A*~(1*D))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000010000000100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1088|u_logic/_al_u2701 (
.a({open_n100937,\u_logic/_al_u2287_o }),
.b({\u_logic/Owoiu6 ,\u_logic/_al_u2700_o }),
.c({\u_logic/Yvjpw6 ,\u_logic/_al_u2631_o }),
.d({\u_logic/Pu1ju6_lutinv ,\u_logic/_al_u651_o }),
.e({open_n100940,\u_logic/D6kiu6_lutinv }),
.f({\u_logic/_al_u1088_o ,\u_logic/_al_u2701_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17657)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(C*~(~D*~B)))"),
//.LUT1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111010111010101),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1089|u_logic/Vhspw6_reg (
.a({open_n100961,\u_logic/_al_u1480_o }),
.b({open_n100962,\u_logic/_al_u1481_o }),
.c({\u_logic/Xxupw6 ,\u_logic/L45iu6_lutinv }),
.ce(\u_logic/n3178 ),
.clk(clk_pad),
.d({\u_logic/R3vpw6 ,\u_logic/_al_u1482_o }),
.f({\u_logic/_al_u1089_o ,open_n100976}),
.q({open_n100980,\u_logic/Vhspw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17657)
// ../rtl/topmodule/cortexm0ds_logic.v(19972)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C*D))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(B*~(C*D))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001100110011),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111001100110011),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u108|u_logic/Bcdbx6_reg (
.b({open_n100983,\u_logic/_al_u1008_o }),
.c({\u_logic/_al_u92_o ,\u_logic/Qwfbx6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Q4wiu6_lutinv ,\u_logic/Vk1iu6 }),
.f({\u_logic/_al_u108_o ,open_n101002}),
.q({open_n101006,\u_logic/Bcdbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19972)
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(~D*~C))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~B*A*~(~D*~C))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0010001000100000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0010001000100000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1090|u_logic/_al_u2710 (
.a({open_n101007,\u_logic/_al_u2708_o }),
.b({open_n101008,\u_logic/_al_u1064_o }),
.c({\u_logic/_al_u1089_o ,\u_logic/_al_u2709_o }),
.d({\u_logic/Vo3ju6_lutinv ,\u_logic/P5vpw6 }),
.f({\u_logic/Z6aiu6 ,\u_logic/_al_u2710_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*A*~(D*~B))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1000000010100000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1091|u_logic/_al_u2304 (
.a({open_n101033,\u_logic/_al_u162_o }),
.b({open_n101034,\u_logic/D31ju6 }),
.c({\u_logic/Ydopw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/Vzupw6 ,\u_logic/Xxupw6 }),
.f({\u_logic/D1piu6_lutinv ,\u_logic/_al_u2304_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1094|u_logic/_al_u1622 (
.a({open_n101055,\u_logic/_al_u1101_o }),
.b({open_n101056,\u_logic/Ldoiu6_lutinv }),
.c({\u_logic/Hirpw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/Yljiu6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u1094_o ,\u_logic/_al_u1622_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(B*A*~(D*C)))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(1*~(B*A*~(D*C)))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1095|u_logic/_al_u3715 (
.a({open_n101077,\u_logic/Xiaju6 }),
.b({open_n101078,\u_logic/Ejaju6_lutinv }),
.c({\u_logic/T1vpw6 ,\u_logic/N3ziu6 }),
.d({\u_logic/P5vpw6 ,\u_logic/Ae0iu6_lutinv }),
.e({open_n101081,\u_logic/Vgjpw6 }),
.f({\u_logic/_al_u1095_o ,\u_logic/Mt4ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1097|u_logic/_al_u155 (
.a({\u_logic/_al_u162_o ,open_n101102}),
.b({\u_logic/_al_u197_o ,open_n101103}),
.c({\u_logic/Ldoiu6_lutinv ,\u_logic/Yvjpw6 }),
.d({\u_logic/Vzupw6 ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u1097_o ,\u_logic/S2ziu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(~D*~(~A*~(C*B)))"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000011101010),
.MODE("LOGIC"))
\u_logic/_al_u1099|u_logic/_al_u2552 (
.a({\u_logic/_al_u1097_o ,\u_logic/Aujpw6 }),
.b({\u_logic/_al_u1098_o ,\u_logic/P5vpw6 }),
.c({\u_logic/_al_u688_o ,\u_logic/R3vpw6 }),
.d({\u_logic/Hirpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1099_o ,\u_logic/_al_u2552_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*~A)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(D*C*B*~A)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0100000000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0100000000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u109|u_logic/_al_u3223 (
.a({open_n101148,\u_logic/Di3qw6 }),
.b({open_n101149,\u_logic/Le2qw6 }),
.c({\u_logic/Zm8ax6 ,\u_logic/Sqwpw6 }),
.d({\u_logic/Sqwpw6 ,\u_logic/Zm8ax6 }),
.f({\u_logic/X4wiu6_lutinv ,\u_logic/Ffqiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u10|u_logic/_al_u3 (
.c({\u_logic/Zqiax6 ,\u_logic/Vzjpw6 }),
.d({\u_logic/Vzjpw6 ,\u_logic/E8iax6 }),
.f(\u_logic/Vnfpw6 [1:0]));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*B*A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~1*~D*~C*B*A)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1100|u_logic/_al_u2454 (
.a({open_n101202,\u_logic/_al_u2451_o }),
.b({\u_logic/Frziu6_lutinv ,\u_logic/_al_u1789_o }),
.c({\u_logic/M8fax6 ,\u_logic/_al_u2389_o }),
.d({\u_logic/_al_u154_o ,\u_logic/_al_u2452_o }),
.e({open_n101205,\u_logic/_al_u2453_o }),
.f({\u_logic/Uu9ow6_lutinv ,\u_logic/_al_u2454_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*A*~(0@D))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*B*A*~(1@D))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1101|u_logic/_al_u4413 (
.a({open_n101226,\u_logic/_al_u4410_o }),
.b({\u_logic/Isjpw6 ,\u_logic/_al_u4411_o }),
.c({\u_logic/SLEEPHOLDACKn ,\u_logic/_al_u4412_o }),
.d({\u_logic/Gr2qw6 ,\u_logic/_al_u4382_o }),
.e({open_n101229,\u_logic/Xdspw6 }),
.f({\u_logic/_al_u1101_o ,\u_logic/_al_u4413_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*~(D*~A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1000000011000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1102|u_logic/_al_u1760 (
.a({open_n101250,\u_logic/_al_u1089_o }),
.b({open_n101251,\u_logic/_al_u393_o }),
.c({\u_logic/_al_u1101_o ,\u_logic/Pu1ju6_lutinv }),
.d({\u_logic/Uu9ow6_lutinv ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u1102_o ,\u_logic/_al_u1760_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~C*~(~D*~(0*~A))))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(B*~(~C*~(~D*~(1*~A))))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1100000011001100),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1100000011001000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1103|u_logic/_al_u2661 (
.a({open_n101272,\u_logic/P0biu6 }),
.b({open_n101273,\u_logic/Apaiu6_lutinv }),
.c({\u_logic/Yvjpw6 ,\u_logic/_al_u161_o }),
.d({\u_logic/Ufopw6 ,\u_logic/Aujpw6 }),
.e({open_n101276,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1103_o ,\u_logic/_al_u2661_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b1010111100110000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1010111100110000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1104|u_logic/_al_u962 (
.a({\u_logic/Bi0iu6 ,\u_logic/R9aiu6 }),
.b({\u_logic/Pu1ju6_lutinv ,\u_logic/Hirpw6 }),
.c({\u_logic/_al_u1103_o ,\u_logic/P5vpw6 }),
.d({\u_logic/T1vpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1104_o ,\u_logic/P8aiu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(0*~D*~C*B*~A)"),
//.LUT1("(1*~D*~C*B*~A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0000000000000100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1105 (
.a({\u_logic/_al_u1086_o ,\u_logic/_al_u1086_o }),
.b({\u_logic/_al_u1096_o ,\u_logic/_al_u1096_o }),
.c({\u_logic/_al_u1099_o ,\u_logic/_al_u1099_o }),
.d({\u_logic/_al_u1102_o ,\u_logic/_al_u1102_o }),
.mi({open_n101333,\u_logic/_al_u1104_o }),
.fx({open_n101338,\u_logic/_al_u1105_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*~C)*~(~B*A))"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b1101000011011101),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\u_logic/_al_u1106|u_logic/_al_u2135 (
.a({\u_logic/_al_u391_o ,\u_logic/_al_u124_o }),
.b({\u_logic/Ya1ju6_lutinv ,\u_logic/P5vpw6 }),
.c({\u_logic/Dxvpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/Nu9ow6 ,\u_logic/_al_u2135_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~A*~(D*C)))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1100100010001000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1107|u_logic/_al_u1782 (
.a({open_n101361,\u_logic/_al_u1781_o }),
.b({open_n101362,\u_logic/Pthiu6 }),
.c({\u_logic/P5vpw6 ,\u_logic/Pu1ju6_lutinv }),
.d({\u_logic/Nu9ow6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1107_o ,\u_logic/_al_u1782_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*~(A*~(D*B)))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*~(A*~(D*B)))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1101000001010000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1101000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1108|u_logic/_al_u1098 (
.a({\u_logic/_al_u1105_o ,open_n101383}),
.b({\u_logic/_al_u1107_o ,open_n101384}),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/Ufopw6 }),
.d({\u_logic/Wkipw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/Jy9iu6 ,\u_logic/_al_u1098_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18393)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(D*~(C*B)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(~A*~(D*~(C*B)))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111110101010),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1011111110101010),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1109|u_logic/Ryfax6_reg (
.a({open_n101409,\u_logic/_al_u662_o }),
.b({open_n101410,\u_logic/_al_u633_o }),
.c({\u_logic/Vplpw6 ,\u_logic/S63iu6_lutinv }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Tezhu6 ,\u_logic/Ryfax6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/Di1iu6 ,open_n101428}),
.q({open_n101432,\u_logic/Ryfax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18393)
EG_PHY_MSLICE #(
//.LUT0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0010111000111111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u110|u_logic/_al_u1002 (
.a({open_n101433,\u_logic/_al_u633_o }),
.b({open_n101434,\u_logic/_al_u657_o }),
.c({\u_logic/Hw8ax6 ,\u_logic/Jfdbx6 }),
.d({\u_logic/X4wiu6_lutinv ,\u_logic/Ldvpw6 }),
.f({\u_logic/Cvciu6 ,\u_logic/_al_u1002_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17347)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~A*~(B*(0@D)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(~C*~A*~(B*(1@D)))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111011111010),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111101011111110),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1111|u_logic/Sdlpw6_reg (
.a({open_n101455,\u_logic/_al_u2017_o }),
.b({open_n101456,\u_logic/T8yhu6_lutinv }),
.c({\u_logic/Bclpw6 ,\u_logic/_al_u1050_o }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u1053_o ,\u_logic/Bclpw6 }),
.e({open_n101458,\u_logic/Sdlpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/A1zhu6_lutinv ,open_n101473}),
.q({open_n101477,\u_logic/Sdlpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17347)
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*~C*B*~A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(1*~(~D*~C*B*~A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111111111111011),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1112|u_logic/_al_u1971 (
.a({open_n101478,\u_logic/_al_u1969_o }),
.b({open_n101479,\u_logic/_al_u1419_o }),
.c({\u_logic/Kalpw6 ,\u_logic/Vnyhu6_lutinv }),
.d({\u_logic/_al_u133_o ,\u_logic/_al_u1970_o }),
.e({open_n101482,\u_logic/U5yhu6 }),
.f({\u_logic/V8zhu6_lutinv ,\u_logic/_al_u1971_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(C@(D*B)))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(A*(C@(D*B)))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0010100010100000),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0010100010100000),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1113|u_logic/_al_u1052 (
.a({open_n101503,\u_logic/T8yhu6_lutinv }),
.b({\u_logic/V8zhu6_lutinv ,\u_logic/_al_u655_o }),
.c({\u_logic/Jflpw6 ,\u_logic/Pmlpw6 }),
.d({\u_logic/A1zhu6_lutinv ,\u_logic/Yklpw6 }),
.f({\u_logic/I6yhu6_lutinv ,\u_logic/_al_u1052_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(~B*~(C*D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(~B*~(C*D))"),
.INIT_LUTF0(16'b0011111111111111),
.INIT_LUTF1(16'b0000001100110011),
.INIT_LUTG0(16'b0011101000001010),
.INIT_LUTG1(16'b0000001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1116|u_logic/_al_u1220 (
.a({open_n101528,\u_logic/U03iu6 }),
.b({\u_logic/Z63iu6_lutinv ,SWDO}),
.c({\u_logic/Krlpw6 ,\u_logic/_al_u657_o }),
.d({\u_logic/_al_u629_o ,\u_logic/Krlpw6 }),
.e({open_n101531,\u_logic/Rilpw6 }),
.f({\u_logic/S63iu6_lutinv ,\u_logic/_al_u1220_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~(~C*B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000011110011),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000011110011),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u111|u_logic/_al_u3280 (
.b({open_n101554,\u_logic/_al_u3279_o }),
.c({\u_logic/Cvciu6 ,\u_logic/Di3qw6 }),
.d({\u_logic/_al_u108_o ,\u_logic/_al_u2979_o }),
.f({\u_logic/Yc7iu6 ,\u_logic/Wzpiu6 }));
// ../rtl/topmodule/CortexM0_SoC.v(107)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0010001111101111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1124|CDBGPWRUPACK_reg (
.a({\u_logic/_al_u633_o ,\u_logic/Cl1iu6 }),
.b({\u_logic/_al_u657_o ,\u_logic/Vk1iu6 }),
.c({\u_logic/D2opw6 ,\u_logic/H3lpw6 }),
.clk(clk_pad),
.d({\u_logic/Zgfax6 ,\u_logic/Kwlpw6 }),
.mi({open_n101590,CDBGPWRUPREQ}),
.sr(RSTn_pad),
.f({\u_logic/Hb3iu6 ,\u_logic/_al_u1118_o }),
.q({open_n101594,CDBGPWRUPACK})); // ../rtl/topmodule/CortexM0_SoC.v(107)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~B*A)"),
//.LUT1("(D*~C*B*A)"),
.INIT_LUT0(16'b0000001000000000),
.INIT_LUT1(16'b0000100000000000),
.MODE("LOGIC"))
\u_logic/_al_u1127|u_logic/_al_u1137 (
.a({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.b({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Vhspw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vmipw6 }),
.f({\u_logic/Ahqow6 ,\u_logic/Hhqow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*~A)"),
//.LUTF1("(D*C*~B*~A)"),
//.LUTG0("(D*~C*~B*~A)"),
//.LUTG1("(D*C*~B*~A)"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTF1(16'b0001000000000000),
.INIT_LUTG0(16'b0000000100000000),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1128|u_logic/_al_u1135 (
.a({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.b({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Vhspw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vmipw6 }),
.f({\u_logic/Qiqow6 ,\u_logic/Gkqow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(20156)
EG_PHY_LSLICE #(
//.LUTF0("(A*C*~((~D*B))*~(0)+A*~(C)*(~D*B)*~(0)+A*C*(~D*B)*~(0)+~(A)*~(C)*~((~D*B))*0+A*~(C)*~((~D*B))*0+~(A)*C*~((~D*B))*0+A*C*~((~D*B))*0+A*~(C)*(~D*B)*0+A*C*(~D*B)*0)"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*C*~((~D*B))*~(1)+A*~(C)*(~D*B)*~(1)+A*C*(~D*B)*~(1)+~(A)*~(C)*~((~D*B))*1+A*~(C)*~((~D*B))*1+~(A)*C*~((~D*B))*1+A*C*~((~D*B))*1+A*~(C)*(~D*B)*1+A*C*(~D*B)*1)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000010101000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111111110111011),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u112|u_logic/F4ibx6_reg (
.a({open_n101639,\u_logic/_al_u3378_o }),
.b({open_n101640,\u_logic/Eg7iu6 }),
.c({\u_logic/Dg2qw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Yc7iu6 ,\u_logic/Dg2qw6 }),
.e({open_n101642,\u_logic/F4ibx6 }),
.sr(RSTn_pad),
.f({\u_logic/n524 ,open_n101657}),
.q({open_n101661,\u_logic/F4ibx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20156)
// ../rtl/topmodule/cortexm0ds_logic.v(18900)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1131|u_logic/Bauax6_reg (
.b({\u_logic/Htmpw6 ,open_n101664}),
.c({\u_logic/Iixpw6 ,\u_logic/Jrypw6 }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u1130_o ,\u_logic/_al_u2270_o }),
.mi({open_n101675,\u_logic/Tx8iu6 }),
.f({\u_logic/Dfqow6 ,\u_logic/Ydkiu6 }),
.q({open_n101680,\u_logic/vis_r4_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18900)
// ../rtl/topmodule/cortexm0ds_logic.v(18825)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*D)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("~(~C*D)"),
//.LUTG1("(C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1111000011111111),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1134|u_logic/A5qax6_reg (
.b({\u_logic/Htmpw6 ,open_n101683}),
.c({\u_logic/Iixpw6 ,\u_logic/Jrypw6 }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/_al_u1130_o ,\u_logic/_al_u2270_o }),
.mi({open_n101687,\u_logic/Tx8iu6 }),
.f({\u_logic/Ljqow6 ,\u_logic/n1568 }),
.q({open_n101703,\u_logic/vis_r2_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18825)
// ../rtl/topmodule/cortexm0ds_logic.v(18742)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*A*~(0*D))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*~B*A*~(1*D))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000000100000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1136|u_logic/Cklax6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/_al_u1397_o }),
.b({\u_logic/Gkqow6 ,\u_logic/_al_u1398_o }),
.c({\u_logic/vis_r11_o[0] ,\u_logic/_al_u1399_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[0] ,\u_logic/Fgqow6 }),
.e({open_n101704,\u_logic/vis_msp_o[29] }),
.mi({open_n101706,\u_logic/D39iu6 }),
.f({\u_logic/_al_u1136_o ,\u_logic/_al_u1400_o }),
.q({open_n101722,\u_logic/vis_r10_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18742)
// ../rtl/topmodule/cortexm0ds_logic.v(18036)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~A*~(D*~C))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("~(~B*~A*~(D*~C))"),
//.LUTG1("(C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111111101110),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1110111111101110),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u113|u_logic/Di3qw6_reg (
.a({open_n101723,\u_logic/_al_u2948_o }),
.b({\u_logic/Sqwpw6 ,\u_logic/_al_u2955_o }),
.c({\u_logic/Zm8ax6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Hw8ax6 ,\u_logic/Di3qw6 }),
.sr(RSTn_pad),
.f({\u_logic/K0xiu6 ,open_n101741}),
.q({open_n101745,\u_logic/Di3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18036)
// ../rtl/topmodule/cortexm0ds_logic.v(20177)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1140|u_logic/Rribx6_reg (
.a({\u_logic/Gkqow6 ,\u_logic/_al_u1205_o }),
.b({\u_logic/Sjqow6 ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r8_o[8] ,\u_logic/Yfqow6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[8] ,\u_logic/vis_psp_o[7] }),
.e({open_n101746,\u_logic/vis_msp_o[7] }),
.mi({open_n101748,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u1140_o ,\u_logic/_al_u1206_o }),
.q({open_n101764,\u_logic/vis_r14_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20177)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\u_logic/_al_u1142|u_logic/_al_u1143 (
.a({\u_logic/_al_u1130_o ,\u_logic/_al_u1130_o }),
.b({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.c({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.d({\u_logic/Jrypw6 ,\u_logic/Jrypw6 }),
.f({\u_logic/Fgqow6 ,\u_logic/Yfqow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1100101000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100101000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1147|u_logic/_al_u1725 (
.a({open_n101785,\u_logic/Yi7ju6_lutinv }),
.b({open_n101786,\u_logic/Hirpw6 }),
.c({\u_logic/R3vpw6 ,\u_logic/T1vpw6 }),
.d({\u_logic/Aujpw6 ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u1147_o ,\u_logic/_al_u1725_o }));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u114|RAMDATA_Interface/reg0_b7 (
.b({open_n101813,\u_logic/_al_u2623_o }),
.c({\u_logic/K0xiu6 ,\u_logic/Yf1qw6 }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/Avwiu6 ,\u_logic/n5754 }),
.sr(cpuresetn),
.f({\u_logic/Jf7iu6 ,HADDR[9]}),
.q({open_n101833,RAMDATA_WADDR[7]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~D*C*B))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0101010100010101),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1150|u_logic/_al_u3721 (
.a({open_n101834,\u_logic/Pt2ju6 }),
.b({\u_logic/_al_u1149_o ,\u_logic/Aujpw6 }),
.c({\u_logic/Sq3ju6 ,\u_logic/T1vpw6 }),
.d({\u_logic/_al_u1148_o ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1150_o ,\u_logic/_al_u3721_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~D))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(B*~(C*~D))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1100110000001100),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1100110000001100),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1152|u_logic/_al_u4663 (
.b({open_n101857,\u_logic/_al_u2647_o }),
.c({\u_logic/_al_u1150_o ,\u_logic/_al_u4662_o }),
.d({\u_logic/Mnxow6 ,\u_logic/LOCKUP }),
.f({\u_logic/J71iu6_lutinv ,\u_logic/_al_u4663_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17897)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1166|u_logic/C3zpw6_reg (
.a({\u_logic/Gkqow6 ,\u_logic/_al_u742_o }),
.b({\u_logic/Qiqow6 ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_r8_o[3] ,\u_logic/vis_r9_o[5] }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[3] ,\u_logic/vis_msp_o[3] }),
.mi({open_n101892,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u1166_o ,\u_logic/_al_u919_o }),
.q({open_n101897,\u_logic/vis_psp_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(17897)
// ../rtl/topmodule/cortexm0ds_logic.v(18797)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1167|u_logic/Kloax6_reg (
.a({\u_logic/_al_u1166_o ,\u_logic/_al_u890_o }),
.b({\u_logic/Ljqow6 ,\u_logic/_al_u891_o }),
.c({\u_logic/Sjqow6 ,\u_logic/Gq4ju6_lutinv }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[3] ,\u_logic/vis_r12_o[31] }),
.e({\u_logic/vis_r11_o[3] ,open_n101898}),
.mi({open_n101900,\u_logic/Tx8iu6 }),
.f({\u_logic/_al_u1167_o ,\u_logic/_al_u892_o }),
.q({open_n101916,\u_logic/vis_r6_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18797)
// ../rtl/topmodule/cortexm0ds_logic.v(17859)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u116|u_logic/H4ypw6_reg (
.c({\u_logic/K0xiu6 ,\u_logic/Nkwiu6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/_al_u108_o ,\u_logic/_al_u108_o }),
.mi({open_n101924,\u_logic/J44iu6 }),
.f({\u_logic/Sg7iu6 ,\u_logic/Kw1iu6_lutinv }),
.q({open_n101940,\u_logic/H4ypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17859)
// ../rtl/topmodule/cortexm0ds_logic.v(17899)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1172|u_logic/A7zpw6_reg (
.a({\u_logic/Dfqow6 ,\u_logic/Dfqow6 }),
.b({\u_logic/Hhqow6 ,\u_logic/Hhqow6 }),
.c({\u_logic/vis_r12_o[4] ,\u_logic/vis_r12_o[3] }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/Wtxax6 ,\u_logic/T5yax6 }),
.mi({open_n101944,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u1172_o ,\u_logic/_al_u1169_o }),
.q({open_n101960,\u_logic/vis_psp_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17899)
// ../rtl/topmodule/cortexm0ds_logic.v(18744)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1174|u_logic/Bolax6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/Qiqow6 }),
.b({\u_logic/Gkqow6 ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r8_o[4] ,\u_logic/vis_r10_o[4] }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[4] ,\u_logic/vis_r9_o[4] }),
.mi({open_n101971,\u_logic/Jgkiu6 }),
.f({\u_logic/_al_u1174_o ,\u_logic/_al_u1175_o }),
.q({open_n101976,\u_logic/vis_r10_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(18744)
// ../rtl/topmodule/cortexm0ds_logic.v(18909)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1181|u_logic/Wruax6_reg (
.a({\u_logic/Gkqow6 ,\u_logic/Gkqow6 }),
.b({\u_logic/Sjqow6 ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r10_o[5] ,\u_logic/vis_r10_o[31] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[5] ,\u_logic/vis_r8_o[31] }),
.mi({open_n101980,\u_logic/Uoliu6 }),
.f({\u_logic/_al_u1181_o ,\u_logic/_al_u1396_o }),
.q({open_n101996,\u_logic/vis_r4_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(18909)
// ../rtl/topmodule/cortexm0ds_logic.v(17288)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1182|u_logic/S5kpw6_reg (
.a({\u_logic/_al_u1180_o ,\u_logic/Hhqow6 }),
.b({\u_logic/_al_u1181_o ,\u_logic/Ahqow6 }),
.c({\u_logic/Yfqow6 ,\u_logic/vis_r12_o[5] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[3] ,\u_logic/vis_r14_o[5] }),
.mi({open_n102000,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u1182_o ,\u_logic/J71pw6 }),
.q({open_n102016,\u_logic/vis_r8_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17288)
EG_PHY_LSLICE #(
//.LUTF0("~(D@(B*~(C*~A)))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("~(D@(B*~(C*~A)))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b1000110001110011),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b1000110001110011),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1185|u_logic/_al_u1468 (
.a({\u_logic/_al_u1184_o ,\u_logic/I28ju6 }),
.b({\u_logic/Gwzhu6 ,\u_logic/_al_u1467_o }),
.c({\u_logic/Dfqow6 ,\u_logic/_al_u1442_o }),
.d({\u_logic/Qc5bx6 ,\u_logic/_al_u1446_o }),
.f({\u_logic/_al_u1185_o ,\u_logic/Q5phu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17898)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1191|u_logic/B5zpw6_reg (
.a({\u_logic/_al_u1189_o ,\u_logic/_al_u836_o }),
.b({\u_logic/Zvzhu6 ,\u_logic/_al_u837_o }),
.c({\u_logic/_al_u1190_o ,\u_logic/Nq4ju6_lutinv }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/Ahqow6 ,\u_logic/vis_r11_o[25] }),
.e({\u_logic/vis_r14_o[6] ,open_n102041}),
.mi({open_n102043,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u1191_o ,\u_logic/_al_u838_o }),
.q({open_n102059,\u_logic/vis_psp_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(17898)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1193|u_logic/_al_u934 (
.a({\u_logic/Qiqow6 ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Sjqow6 ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r9_o[7] ,\u_logic/vis_r10_o[7] }),
.d({\u_logic/vis_r10_o[7] ,\u_logic/vis_r8_o[7] }),
.f({\u_logic/_al_u1193_o ,\u_logic/_al_u934_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18747)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1194|u_logic/Ytlax6_reg (
.a({\u_logic/_al_u1193_o ,\u_logic/_al_u883_o }),
.b({\u_logic/Ljqow6 ,\u_logic/_al_u884_o }),
.c({\u_logic/Gkqow6 ,\u_logic/Nq4ju6_lutinv }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[7] ,\u_logic/vis_r11_o[9] }),
.e({\u_logic/vis_r8_o[7] ,open_n102084}),
.mi({open_n102086,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u1194_o ,\u_logic/_al_u885_o }),
.q({open_n102102,\u_logic/vis_r10_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(18747)
// ../rtl/topmodule/cortexm0ds_logic.v(17629)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1204|u_logic/Bsrpw6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/_al_u1204_o }),
.b({\u_logic/Qiqow6 ,\u_logic/Gkqow6 }),
.c({\u_logic/vis_r11_o[9] ,\u_logic/Sjqow6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[9] ,\u_logic/vis_r8_o[9] }),
.e({open_n102103,\u_logic/vis_r10_o[9] }),
.mi({open_n102105,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u1204_o ,\u_logic/_al_u1205_o }),
.q({open_n102121,\u_logic/vis_r11_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(17629)
// ../rtl/topmodule/cortexm0ds_logic.v(17553)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~(D*~A))"),
//.LUTF1("(~D*~A*~(C*B))"),
//.LUTG0("~(C*B*~(D*~A))"),
//.LUTG1("(~D*~A*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111100111111),
.INIT_LUTF1(16'b0000000000010101),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b0000000000010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1209|u_logic/Ibqpw6_reg (
.a({\u_logic/I28ju6 ,\u_logic/_al_u2589_o }),
.b({\u_logic/J71iu6_lutinv ,\u_logic/_al_u2590_o }),
.c({\u_logic/I8lax6 ,\u_logic/_al_u2591_o }),
.clk(clk_pad),
.d({\u_logic/Wvgax6 ,\u_logic/Jl8iu6 }),
.f({\u_logic/_al_u1209_o ,open_n102140}),
.q({open_n102144,\u_logic/Ibqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17553)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0111000100111111),
.INIT_LUT1(16'b1111011000111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1218 (
.a({\u_logic/Bclpw6 ,\u_logic/Bclpw6 }),
.b({\u_logic/Jflpw6 ,\u_logic/Jflpw6 }),
.c({\u_logic/Kalpw6 ,\u_logic/Kalpw6 }),
.d({\u_logic/Sdlpw6 ,\u_logic/Sdlpw6 }),
.mi({open_n102157,\u_logic/Yklpw6 }),
.fx({open_n102162,\u_logic/_al_u1218_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*C*~B))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b1010101010001010),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1219|u_logic/_al_u1114 (
.a({open_n102165,\u_logic/I6yhu6_lutinv }),
.b({open_n102166,\u_logic/_al_u617_o }),
.c({\u_logic/U5yhu6 ,\u_logic/W6yhu6_lutinv }),
.d({\u_logic/_al_u1218_o ,\u_logic/Yklpw6 }),
.f({\u_logic/_al_u1219_o ,\u_logic/_al_u1114_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1011010111111001),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1011010111111001),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1222|u_logic/_al_u4041 (
.a({open_n102187,\u_logic/N4kax6 }),
.b({open_n102188,\u_logic/P0kax6 }),
.c({\u_logic/U9ypw6 ,\u_logic/Rwjax6 }),
.d({\u_logic/_al_u1101_o ,\u_logic/W4jax6 }),
.f({\u_logic/W0piu6_lutinv ,\u_logic/_al_u4041_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B*~(~C*A)))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(D*~(B*~(~C*A)))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0011101100000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0011101100000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1223|u_logic/_al_u3990 (
.a({open_n102213,\u_logic/_al_u1821_o }),
.b({open_n102214,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.c({\u_logic/Ydopw6 ,\u_logic/Dxvpw6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1223_o ,\u_logic/_al_u3990_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*D))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000001100110011),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1224|u_logic/_al_u2164 (
.b({open_n102241,\u_logic/_al_u1223_o }),
.c({\u_logic/_al_u1223_o ,\u_logic/Ssjax6 }),
.d({\u_logic/W0piu6_lutinv ,\u_logic/Nbkiu6_lutinv }),
.f({\u_logic/Hviiu6 ,\u_logic/_al_u2164_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1228|u_logic/_al_u402 (
.c({\u_logic/Ssjax6 ,\u_logic/Ydopw6 }),
.d({\u_logic/Rwjax6 ,\u_logic/Skjax6 }),
.f({\u_logic/_al_u1228_o ,\u_logic/_al_u402_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(D*~(~C*~B))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"))
\u_logic/_al_u1229|u_logic/_al_u1597 (
.b({\u_logic/_al_u1227_o ,open_n102288}),
.c({\u_logic/_al_u1228_o ,\u_logic/U9ypw6 }),
.d({\u_logic/Hviiu6 ,\u_logic/Hgrpw6 }),
.f({\u_logic/_al_u1229_o ,\u_logic/Jiiiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1230|u_logic/_al_u1484 (
.c({\u_logic/Hgrpw6 ,\u_logic/Dxvpw6 }),
.d({\u_logic/_al_u1101_o ,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/_al_u1230_o ,\u_logic/Kxziu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(D*~A*~(~C*~B))"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0101010000000000),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u1231|u_logic/_al_u1773 (
.a({open_n102337,\u_logic/_al_u1101_o }),
.b({\u_logic/Nbkiu6_lutinv ,\u_logic/Nyiiu6 }),
.c({\u_logic/Dxvpw6 ,\u_logic/Hgrpw6 }),
.d({\u_logic/_al_u1230_o ,\u_logic/Ydopw6 }),
.f({\u_logic/Wkjiu6 ,\u_logic/_al_u1773_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1232|u_logic/_al_u1489 (
.c({\u_logic/P14qw6 ,\u_logic/U9ypw6 }),
.d({\u_logic/_al_u402_o ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u1232_o ,\u_logic/Nyiiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~A*~(0*D))"),
//.LUT1("(~C*~B*~A*~(1*D))"),
.INIT_LUT0(16'b0000000100000001),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1233 (
.a({\u_logic/_al_u1086_o ,\u_logic/_al_u1086_o }),
.b({\u_logic/_al_u1229_o ,\u_logic/_al_u1229_o }),
.c({\u_logic/Wkjiu6 ,\u_logic/Wkjiu6 }),
.d({\u_logic/W0piu6_lutinv ,\u_logic/W0piu6_lutinv }),
.mi({open_n102398,\u_logic/_al_u1232_o }),
.fx({open_n102403,\u_logic/M1jiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~D*~C*B))"),
//.LUT1("(~D*~(~B*~(~C*A)))"),
.INIT_LUT0(16'b0101010101010001),
.INIT_LUT1(16'b0000000011001110),
.MODE("LOGIC"))
\u_logic/_al_u1234|u_logic/_al_u1662 (
.a({\u_logic/_al_u688_o ,\u_logic/_al_u1086_o }),
.b({\u_logic/Pu1ju6_lutinv ,\u_logic/Pu1ju6_lutinv }),
.c({\u_logic/R3vpw6 ,\u_logic/Aujpw6 }),
.d({\u_logic/Yvjpw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1234_o ,\u_logic/Veziu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1235|u_logic/_al_u1771 (
.c({\u_logic/Sojax6 ,\u_logic/Ssjax6 }),
.d({\u_logic/_al_u402_o ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u1235_o ,\u_logic/_al_u1771_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*D))"),
.INIT_LUT0(16'b0000001100110011),
.MODE("LOGIC"))
\u_logic/_al_u1236 (
.b({open_n102456,\u_logic/_al_u1234_o }),
.c({open_n102457,\u_logic/_al_u1235_o }),
.d({open_n102460,\u_logic/W0piu6_lutinv }),
.f({open_n102474,\u_logic/_al_u1236_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1000110111111011),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u1237|u_logic/_al_u2175 (
.a({open_n102480,\u_logic/N4kax6 }),
.b({open_n102481,\u_logic/P0kax6 }),
.c({\u_logic/Sojax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/_al_u1101_o ,\u_logic/W4jax6 }),
.f({\u_logic/Uyiiu6 ,\u_logic/Wh9ow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000001100),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1239|u_logic/_al_u1227 (
.b({open_n102504,\u_logic/_al_u1226_o }),
.c({\u_logic/J9kiu6_lutinv ,\u_logic/P0kax6 }),
.d({\u_logic/Uyiiu6 ,\u_logic/_al_u1225_o }),
.f({\u_logic/Vviiu6 ,\u_logic/_al_u1227_o }));
EG_PHY_LSLICE #(
//.LUTF0("~((D*B)*~(A)*~(C)+(D*B)*A*~(C)+~((D*B))*A*C+(D*B)*A*C)"),
//.LUTF1("(C*D)"),
//.LUTG0("~((D*B)*~(A)*~(C)+(D*B)*A*~(C)+~((D*B))*A*C+(D*B)*A*C)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0101001101011111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0101001101011111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u123|u_logic/_al_u2081 (
.a({open_n102525,\u_logic/T23ju6_lutinv }),
.b({open_n102526,\u_logic/_al_u413_o }),
.c({\u_logic/Vzupw6 ,\u_logic/P5vpw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/Vo3ju6_lutinv ,\u_logic/Rvniu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~A*~(D*C))"),
//.LUTF1("(A*~(~D*~C*B))"),
//.LUTG0("(B*~A*~(D*C))"),
//.LUTG1("(A*~(~D*~C*B))"),
.INIT_LUTF0(16'b0000010001000100),
.INIT_LUTF1(16'b1010101010100010),
.INIT_LUTG0(16'b0000010001000100),
.INIT_LUTG1(16'b1010101010100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1240|u_logic/_al_u4031 (
.a({\u_logic/_al_u1236_o ,\u_logic/_al_u4030_o }),
.b({\u_logic/Vviiu6 ,\u_logic/W0piu6_lutinv }),
.c({\u_logic/P0kax6 ,\u_logic/Dxvpw6 }),
.d({\u_logic/Ssjax6 ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u1240_o ,\u_logic/_al_u4031_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*~D))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*B*A*~(1*~D))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1241|u_logic/_al_u1671 (
.a({\u_logic/Irmpw6 ,\u_logic/Veziu6 }),
.b({\u_logic/N4kax6 ,\u_logic/_al_u1666_o }),
.c({\u_logic/S7mpw6 ,\u_logic/_al_u1668_o }),
.d({\u_logic/Wfspw6 ,\u_logic/_al_u1670_o }),
.e({open_n102577,\u_logic/_al_u1230_o }),
.f({\u_logic/_al_u1241_o ,\u_logic/Epjiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\u_logic/_al_u1244|u_logic/_al_u2323 (
.a({open_n102598,\u_logic/Kxziu6_lutinv }),
.b({\u_logic/_al_u1242_o ,\u_logic/J9kiu6_lutinv }),
.c({\u_logic/_al_u1243_o ,\u_logic/P0kax6 }),
.d({\u_logic/_al_u1102_o ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u1244_o ,\u_logic/_al_u2323_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*A*~(D@B))"),
//.LUTF1("(~(~D*B)*~(~C*A))"),
//.LUTG0("(C*A*~(D@B))"),
//.LUTG1("(~(~D*B)*~(~C*A))"),
.INIT_LUTF0(16'b1000000000100000),
.INIT_LUTF1(16'b1111010100110001),
.INIT_LUTG0(16'b1000000000100000),
.INIT_LUTG1(16'b1111010100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1245|u_logic/_al_u2318 (
.a({\u_logic/Nbkiu6_lutinv ,\u_logic/_al_u2317_o }),
.b({\u_logic/_al_u402_o ,\u_logic/Jckax6 }),
.c({\u_logic/Qxoiu6 ,\u_logic/N4kax6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u1245_o ,\u_logic/Xuyiu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~A*~(~D*B)))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*~(~A*~(~D*B)))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1010000011100000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1010000011100000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1246|u_logic/_al_u2313 (
.a({open_n102643,\u_logic/Qxoiu6 }),
.b({open_n102644,\u_logic/P14qw6 }),
.c({\u_logic/Sojax6 ,\u_logic/Skjax6 }),
.d({\u_logic/P14qw6 ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u1246_o ,\u_logic/_al_u2313_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~D*(~B*~(A)*~(C)+~B*A*~(C)+~(~B)*A*C+~B*A*C))"),
//.LUT1("(1*~D*(~B*~(A)*~(C)+~B*A*~(C)+~(~B)*A*C+~B*A*C))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0000000010100011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1248 (
.a({\u_logic/Dxvpw6 ,\u_logic/Dxvpw6 }),
.b({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.c({\u_logic/Skjax6 ,\u_logic/Skjax6 }),
.d({\u_logic/Sojax6 ,\u_logic/Sojax6 }),
.mi({open_n102681,\u_logic/Ydopw6 }),
.fx({open_n102686,\u_logic/_al_u1248_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(~D*C))"),
//.LUTF1("(B*~(~D*~C*A))"),
//.LUTG0("(~B*~A*~(~D*C))"),
//.LUTG1("(B*~(~D*~C*A))"),
.INIT_LUTF0(16'b0001000100000001),
.INIT_LUTF1(16'b1100110011000100),
.INIT_LUTG0(16'b0001000100000001),
.INIT_LUTG1(16'b1100110011000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1249|u_logic/_al_u2750 (
.a({\u_logic/_al_u1245_o ,\u_logic/_al_u1606_o }),
.b({\u_logic/_al_u1230_o ,\u_logic/_al_u2749_o }),
.c({\u_logic/_al_u1247_o ,\u_logic/_al_u1485_o }),
.d({\u_logic/_al_u1248_o ,\u_logic/Skjax6 }),
.f({\u_logic/_al_u1249_o ,\u_logic/_al_u2750_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17923)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1256|u_logic/Ti0qw6_reg (
.a({\u_logic/_al_u1254_o ,\u_logic/_al_u1297_o }),
.b({\u_logic/G30iu6 ,\u_logic/X10iu6 }),
.c({\u_logic/_al_u1255_o ,\u_logic/_al_u1298_o }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/Dfqow6 ,\u_logic/Ahqow6 }),
.e({\u_logic/Gwxpw6 ,\u_logic/vis_r14_o[15] }),
.mi({open_n102714,\u_logic/Jlmiu6 }),
.f({\u_logic/Ka8ju6 ,\u_logic/_al_u1299_o }),
.q({open_n102730,\u_logic/vis_msp_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(17923)
// ../rtl/topmodule/cortexm0ds_logic.v(17689)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~A*~(D*C))"),
//.LUTF1("(~D*~A*~(C*B))"),
//.LUTG0("~(~B*~A*~(D*C))"),
//.LUTG1("(~D*~A*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111011101110),
.INIT_LUTF1(16'b0000000000010101),
.INIT_LUTG0(16'b1111111011101110),
.INIT_LUTG1(16'b0000000000010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1257|u_logic/Tptpw6_reg (
.a({\u_logic/Ka8ju6 ,\u_logic/_al_u1251_o }),
.b({\u_logic/J71iu6_lutinv ,\u_logic/_al_u1257_o }),
.c({\u_logic/I8lax6 ,\u_logic/J44iu6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Wvgax6 ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u1257_o ,HWDATA[10]}),
.q({open_n102751,\u_logic/Tptpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17689)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(0*D*C))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~B*~A*~(1*D*C))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0001000100010001),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u125|u_logic/_al_u687 (
.a({open_n102752,\u_logic/_al_u648_o }),
.b({\u_logic/_al_u124_o ,\u_logic/_al_u685_o }),
.c({\u_logic/Aujpw6 ,\u_logic/_al_u391_o }),
.d({\u_logic/Vo3ju6_lutinv ,\u_logic/_al_u686_o }),
.e({open_n102755,\u_logic/Ufopw6 }),
.f({\u_logic/Iugiu6 ,\u_logic/_al_u687_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19772)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1261|u_logic/Ce7bx6_reg (
.a({\u_logic/Gkqow6 ,\u_logic/_al_u742_o }),
.b({\u_logic/Sjqow6 ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_r8_o[11] ,\u_logic/vis_r9_o[14] }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[11] ,\u_logic/vis_msp_o[12] }),
.mi({open_n102786,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u1261_o ,\u_logic/_al_u757_o }),
.q({open_n102791,\u_logic/vis_r10_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19772)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u1262|u_logic/_al_u764 (
.a({\u_logic/_al_u1260_o ,\u_logic/_al_u742_o }),
.b({\u_logic/_al_u1261_o ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/Yfqow6 ,\u_logic/vis_r9_o[15] }),
.d({\u_logic/vis_psp_o[9] ,\u_logic/vis_msp_o[13] }),
.f({\u_logic/_al_u1262_o ,\u_logic/_al_u764_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1263|u_logic/_al_u1281 (
.a({\u_logic/Dfqow6 ,\u_logic/Dfqow6 }),
.b({\u_logic/Hhqow6 ,\u_logic/Ahqow6 }),
.c({\u_logic/C07bx6 ,\u_logic/vis_r14_o[13] }),
.d({\u_logic/vis_r12_o[11] ,\u_logic/Xpxax6 }),
.f({\u_logic/_al_u1263_o ,\u_logic/_al_u1281_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1264|u_logic/_al_u950 (
.a({\u_logic/_al_u1262_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u1263_o ,\u_logic/_al_u745_o }),
.c({\u_logic/Fgqow6 ,\u_logic/vis_psp_o[9] }),
.d({\u_logic/vis_msp_o[9] ,\u_logic/vis_r14_o[11] }),
.f({\u_logic/_al_u1264_o ,\u_logic/_al_u950_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17530)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1265|u_logic/O1ppw6_reg (
.a({\u_logic/_al_u1264_o ,\u_logic/Dfqow6 }),
.b({\u_logic/Z20iu6 ,\u_logic/Hhqow6 }),
.c({\u_logic/Ahqow6 ,\u_logic/Dm6bx6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[11] ,\u_logic/vis_r12_o[12] }),
.mi({open_n102863,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u1265_o ,\u_logic/Gg1pw6 }),
.q({open_n102879,\u_logic/vis_r9_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(17530)
// ../rtl/topmodule/cortexm0ds_logic.v(19876)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110001010000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100110001010000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1269|u_logic/Z9abx6_reg (
.a({open_n102880,\u_logic/_al_u1191_o }),
.b({open_n102881,\u_logic/Xi4iu6 }),
.c({\u_logic/J71iu6_lutinv ,\u_logic/I8lax6 }),
.ce(\u_logic/n1115 ),
.clk(clk_pad),
.d({\u_logic/_al_u1178_o ,\u_logic/Wvgax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1269_o ,HWDATA[6]}),
.q({open_n102901,\u_logic/Z9abx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19876)
EG_PHY_MSLICE #(
//.LUT0("(~D*A*~(C*~B))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000000010001010),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u126|u_logic/_al_u2300 (
.a({open_n102902,\u_logic/Vo3ju6_lutinv }),
.b({open_n102903,\u_logic/Np7ow6_lutinv }),
.c({\u_logic/Xxupw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/Xxupw6 }),
.f({\u_logic/Pt2ju6 ,\u_logic/_al_u2300_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19566)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~A*~(D*C))"),
//.LUTF1("(~D*~A*~(C*B))"),
//.LUTG0("~(~B*~A*~(D*C))"),
//.LUTG1("(~D*~A*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111011101110),
.INIT_LUTF1(16'b0000000000010101),
.INIT_LUTG0(16'b1111111011101110),
.INIT_LUTG1(16'b0000000000010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1275|u_logic/Yw3bx6_reg (
.a({\u_logic/_al_u1274_o ,\u_logic/_al_u1286_o }),
.b({\u_logic/J71iu6_lutinv ,\u_logic/_al_u1292_o }),
.c({\u_logic/I8lax6 ,\u_logic/L54iu6 }),
.ce(\u_logic/n1111 ),
.clk(clk_pad),
.d({\u_logic/Wvgax6 ,\u_logic/Wvgax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1275_o ,HWDATA[14]}),
.q({open_n102943,\u_logic/Yw3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19566)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1279 (
.a({\u_logic/_al_u1278_o ,\u_logic/_al_u1278_o }),
.b({\u_logic/Ljqow6 ,\u_logic/Ljqow6 }),
.c({\u_logic/Gkqow6 ,\u_logic/Gkqow6 }),
.d({\u_logic/vis_r8_o[13] ,\u_logic/vis_r8_o[13] }),
.mi({open_n102956,\u_logic/vis_r11_o[13] }),
.fx({open_n102961,\u_logic/_al_u1279_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u127|u_logic/_al_u2843 (
.a({open_n102964,\u_logic/Qa5iu6 }),
.b({\u_logic/Pt2ju6 ,\u_logic/_al_u1630_o }),
.c({\u_logic/T1vpw6 ,\u_logic/_al_u690_o }),
.d({\u_logic/Iugiu6 ,\u_logic/Owoiu6 }),
.e({open_n102967,\u_logic/Z9opw6 }),
.f({\u_logic/TXEV ,\u_logic/_al_u2843_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1280|u_logic/_al_u754 (
.a({open_n102988,\u_logic/_al_u752_o }),
.b({open_n102989,\u_logic/_al_u753_o }),
.c({\u_logic/vis_msp_o[11] ,\u_logic/Ds4ju6_lutinv }),
.d({\u_logic/Fgqow6 ,\u_logic/vis_r9_o[13] }),
.f({\u_logic/_al_u1280_o ,\u_logic/_al_u754_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*A*~(0*D))"),
//.LUT1("(C*~B*A*~(1*D))"),
.INIT_LUT0(16'b0010000000100000),
.INIT_LUT1(16'b0000000000100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1282 (
.a({\u_logic/_al_u1279_o ,\u_logic/_al_u1279_o }),
.b({\u_logic/_al_u1280_o ,\u_logic/_al_u1280_o }),
.c({\u_logic/_al_u1281_o ,\u_logic/_al_u1281_o }),
.d({\u_logic/Yfqow6 ,\u_logic/Yfqow6 }),
.mi({open_n103026,\u_logic/vis_psp_o[11] }),
.fx({open_n103031,\u_logic/_al_u1282_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17302)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1283|u_logic/Vnkpw6_reg (
.a({\u_logic/_al_u1282_o ,\u_logic/_al_u1289_o }),
.b({\u_logic/L20iu6 ,\u_logic/E20iu6 }),
.c({\u_logic/Hhqow6 ,\u_logic/_al_u1290_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[13] ,\u_logic/Dfqow6 }),
.e({open_n103034,\u_logic/Sb8ax6 }),
.mi({open_n103036,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u1283_o ,\u_logic/_al_u1291_o }),
.q({open_n103052,\u_logic/vis_psp_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(17302)
// ../rtl/topmodule/cortexm0ds_logic.v(20152)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTF1("(~D*~A*~(C*B))"),
//.LUTG0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTG1("(~D*~A*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110001010000),
.INIT_LUTF1(16'b0000000000010101),
.INIT_LUTG0(16'b1100110001010000),
.INIT_LUTG1(16'b0000000000010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1292|u_logic/Oyhbx6_reg (
.a({\u_logic/_al_u1291_o ,\u_logic/_al_u1170_o }),
.b({\u_logic/J71iu6_lutinv ,\u_logic/Df4iu6 }),
.c({\u_logic/I8lax6 ,\u_logic/I8lax6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Wvgax6 ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u1292_o ,HWDATA[3]}),
.q({open_n103073,\u_logic/Oyhbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20152)
// ../rtl/topmodule/cortexm0ds_logic.v(19026)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~A*~(D*~C))"),
//.LUTF1("(~D*C*B*~A)"),
//.LUTG0("~(B*~A*~(D*~C))"),
//.LUTG1("(~D*C*B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111110111011),
.INIT_LUTF1(16'b0000000001000000),
.INIT_LUTG0(16'b1011111110111011),
.INIT_LUTG1(16'b0000000001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1294|u_logic/Wpyax6_reg (
.a({\u_logic/_al_u1197_o ,\u_logic/_al_u1294_o }),
.b({\u_logic/J71iu6_lutinv ,\u_logic/Khvow6 }),
.c({\u_logic/I8lax6 ,\u_logic/_al_u1299_o }),
.ce(\u_logic/n1112 ),
.clk(clk_pad),
.d({\u_logic/Wvgax6 ,\u_logic/_al_u1357_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u1294_o ,HWDATA[31]}),
.q({open_n103093,\u_logic/Wpyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19026)
// ../rtl/topmodule/cortexm0ds_logic.v(19790)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1295|u_logic/Z38bx6_reg (
.a({\u_logic/Qiqow6 ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Sjqow6 ,\u_logic/_al_u745_o }),
.c({\u_logic/vis_r9_o[15] ,\u_logic/vis_r14_o[21] }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[15] ,\u_logic/vis_psp_o[19] }),
.mi({open_n103104,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u1295_o ,\u_logic/_al_u809_o }),
.q({open_n103109,\u_logic/vis_r10_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19790)
// ../rtl/topmodule/cortexm0ds_logic.v(18863)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1297|u_logic/O8sax6_reg (
.a({\u_logic/_al_u1296_o ,\u_logic/Dfqow6 }),
.b({\u_logic/Fgqow6 ,\u_logic/Hhqow6 }),
.c({\u_logic/Yfqow6 ,\u_logic/vis_r12_o[18] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[13] ,\u_logic/Syjbx6 }),
.e({\u_logic/vis_psp_o[13] ,open_n103110}),
.mi({open_n103112,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u1297_o ,\u_logic/_al_u1310_o }),
.q({open_n103128,\u_logic/vis_r8_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(18863)
// ../rtl/topmodule/cortexm0ds_logic.v(18357)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*~B))"),
//.LUTF1("(D*~(~C*~B))"),
//.LUTG0("~(D*~(C*~B))"),
//.LUTG1("(D*~(~C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000011111111),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b0011000011111111),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u129|u_logic/Vefax6_reg (
.b({\u_logic/Nmfax6 ,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/Nrqpw6 ,\u_logic/Vefax6 }),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/_al_u3229_o }),
.f({\u_logic/_al_u129_o ,open_n103149}),
.q({open_n103153,\u_logic/Vefax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18357)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*~A)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000000001000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1307|u_logic/_al_u1210 (
.a({open_n103154,\u_logic/_al_u1202_o }),
.b({open_n103155,\u_logic/J71iu6_lutinv }),
.c({\u_logic/I8lax6 ,\u_logic/I8lax6 }),
.d({\u_logic/_al_u1150_o ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u1307_o ,\u_logic/_al_u1210_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20004)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1313|u_logic/T1fbx6_reg (
.a({\u_logic/Gkqow6 ,\u_logic/Gkqow6 }),
.b({\u_logic/Sjqow6 ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r8_o[18] ,\u_logic/vis_r8_o[17] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[18] ,\u_logic/vis_r10_o[17] }),
.mi({open_n103179,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u1313_o ,\u_logic/_al_u1302_o }),
.q({open_n103195,\u_logic/vis_r11_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20004)
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1319|ethernet_i0/mac_test0/reg0_b3 (
.a({open_n103196,\u_logic/Gkqow6 }),
.b({open_n103197,\u_logic/Sjqow6 }),
.c({\u_logic/vis_psp_o[17] ,\u_logic/vis_r8_o[22] }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\u_logic/Yfqow6 ,\u_logic/vis_r10_o[22] }),
.mi({open_n103209,\ethernet_i0/gmii_rxd [3]}),
.sr(RSTn_pad),
.f({\u_logic/_al_u1319_o ,\u_logic/_al_u1337_o }),
.q({open_n103213,\ethernet_i0/mac_test0/gmii_rxd_d0 [3]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
// ../rtl/topmodule/cortexm0ds_logic.v(17919)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(C*D)"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1325|u_logic/Ta0qw6_reg (
.a({\u_logic/_al_u1324_o ,open_n103214}),
.b({\u_logic/Ljqow6 ,open_n103215}),
.c({\u_logic/Qiqow6 ,\u_logic/vis_msp_o[21] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[20] ,\u_logic/Fgqow6 }),
.e({\u_logic/vis_r11_o[20] ,open_n103216}),
.mi({open_n103218,\u_logic/D4miu6 }),
.f({\u_logic/_al_u1325_o ,\u_logic/_al_u1345_o }),
.q({open_n103234,\u_logic/vis_msp_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(17919)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1330|u_logic/_al_u807 (
.a({\u_logic/Gkqow6 ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Qiqow6 ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r8_o[21] ,\u_logic/vis_r8_o[21] }),
.d({\u_logic/vis_r9_o[21] ,\u_logic/vis_r10_o[21] }),
.f({\u_logic/_al_u1330_o ,\u_logic/_al_u807_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19803)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1333|u_logic/Yt8bx6_reg (
.a({\u_logic/Dfqow6 ,\u_logic/Hhqow6 }),
.b({\u_logic/Hhqow6 ,\u_logic/Ahqow6 }),
.c({\u_logic/vis_r12_o[21] ,\u_logic/vis_r12_o[14] }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/M2ebx6 ,\u_logic/vis_r14_o[14] }),
.mi({open_n103269,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u1333_o ,\u_logic/_al_u1290_o }),
.q({open_n103274,\u_logic/vis_psp_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(19803)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u1338|u_logic/_al_u815 (
.a({\u_logic/_al_u1336_o ,\u_logic/_al_u813_o }),
.b({\u_logic/_al_u1337_o ,\u_logic/_al_u814_o }),
.c({\u_logic/Fgqow6 ,\u_logic/Nq4ju6_lutinv }),
.d({\u_logic/vis_msp_o[20] ,\u_logic/vis_r11_o[22] }),
.f({\u_logic/_al_u1338_o ,\u_logic/_al_u815_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19789)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1339|u_logic/Z18bx6_reg (
.a({\u_logic/Hhqow6 ,\u_logic/_al_u780_o }),
.b({\u_logic/Ahqow6 ,\u_logic/_al_u781_o }),
.c({\u_logic/vis_r12_o[22] ,\u_logic/Nq4ju6_lutinv }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[22] ,\u_logic/vis_r11_o[17] }),
.mi({open_n103298,\u_logic/Zfmiu6 }),
.f({\u_logic/K7yow6 ,\u_logic/_al_u782_o }),
.q({open_n103314,\u_logic/vis_r9_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19789)
EG_PHY_MSLICE #(
//.LUT0("(D*A*(C@B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0010100000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u133|u_logic/_al_u1428 (
.a({open_n103315,\u_logic/_al_u1427_o }),
.b({open_n103316,\u_logic/Bclpw6 }),
.c({\u_logic/Sdlpw6 ,\u_logic/Jflpw6 }),
.d({\u_logic/Bclpw6 ,\u_logic/Sdlpw6 }),
.f({\u_logic/_al_u133_o ,\u_logic/Dsyhu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17333)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*(~(B)*C*~(D)+B*C*~(D)+~(B)*~(C)*D+B*~(C)*D+B*C*D))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("~(~A*(~(B)*C*~(D)+B*C*~(D)+~(B)*~(C)*D+B*~(C)*D+B*C*D))"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101010101111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1011101010101111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u134|u_logic/Y8lpw6_reg (
.a({open_n103337,\u_logic/_al_u1217_o }),
.b({open_n103338,\u_logic/_al_u1219_o }),
.c({\u_logic/Pmlpw6 ,\u_logic/_al_u1220_o }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Ahlpw6 ,\u_logic/Y8lpw6 }),
.f({\u_logic/U5yhu6 ,open_n103357}),
.q({open_n103361,\u_logic/Y8lpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17333)
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1355|u_logic/_al_u3834 (
.a({open_n103362,\u_logic/By4ju6 }),
.b({open_n103363,\u_logic/_al_u3723_o }),
.c({\u_logic/Wvgax6 ,\u_logic/Nxkbx6 [25]}),
.d({\u_logic/_al_u1307_o ,\u_logic/n159 [6]}),
.f({\u_logic/Gdqow6 ,\u_logic/Ww6ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(~(D*C)*~(B*~A))"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(~(D*C)*~(B*~A))"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b0000101110111011),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b0000101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1356|u_logic/_al_u1387 (
.a({\u_logic/_al_u1354_o ,\u_logic/_al_u1386_o }),
.b({\u_logic/Gdqow6 ,\u_logic/Gdqow6 }),
.c({\u_logic/D84iu6 ,\u_logic/F94iu6 }),
.d({\u_logic/Wvgax6 ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u1356_o ,\u_logic/W1wow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17203)
EG_PHY_MSLICE #(
//.LUT0("~(B*~A*~(D*~C))"),
//.LUT1("~(~B*A*~(D*~C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111110111011),
.INIT_LUT1(16'b1101111111011101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1358|u_logic/Wgipw6_reg (
.a({\u_logic/_al_u1356_o ,\u_logic/_al_u1286_o }),
.b({\u_logic/_al_u1154_o ,\u_logic/_al_u1389_o }),
.c({\u_logic/Cz7ju6 ,\u_logic/_al_u1394_o }),
.ce(\u_logic/n1200 ),
.clk(clk_pad),
.d({\u_logic/_al_u1357_o ,\u_logic/Gdqow6 }),
.sr(cpuresetn),
.f({HWDATA[24],HWDATA[30]}),
.q({open_n103423,\u_logic/Wgipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17203)
// ../rtl/topmodule/cortexm0ds_logic.v(17674)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1360|u_logic/Z5tpw6_reg (
.a({\u_logic/_al_u1359_o ,\u_logic/_al_u1144_o }),
.b({\u_logic/Dfqow6 ,\u_logic/Lvzhu6 }),
.c({\u_logic/Qiqow6 ,\u_logic/_al_u1145_o }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/Nwbbx6 ,\u_logic/Ahqow6 }),
.e({\u_logic/vis_r9_o[25] ,\u_logic/vis_r14_o[8] }),
.mi({open_n103425,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u1360_o ,\u_logic/Cz7ju6 }),
.q({open_n103441,\u_logic/vis_r9_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(17674)
// ../rtl/topmodule/cortexm0ds_logic.v(17910)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1361|u_logic/Vszpw6_reg (
.a({\u_logic/_al_u1360_o ,\u_logic/_al_u1351_o }),
.b({\u_logic/Fgqow6 ,\u_logic/Fgqow6 }),
.c({\u_logic/Yfqow6 ,\u_logic/Yfqow6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[23] ,\u_logic/vis_msp_o[22] }),
.e({\u_logic/vis_psp_o[23] ,\u_logic/vis_psp_o[22] }),
.mi({open_n103443,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u1361_o ,\u_logic/_al_u1352_o }),
.q({open_n103459,\u_logic/vis_msp_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(17910)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*~(D*~A)))"),
//.LUT1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUT0(16'b0001001100000011),
.INIT_LUT1(16'b0000010100000011),
.MODE("LOGIC"))
\u_logic/_al_u1364|u_logic/_al_u4653 (
.a({\u_logic/_al_u1363_o ,\u_logic/_al_u4297_o }),
.b({\u_logic/_al_u1202_o ,\u_logic/Ycliu6 }),
.c({\u_logic/_al_u1157_o ,\u_logic/Iimow6 }),
.d({\u_logic/Wofiu6_lutinv ,\u_logic/_al_u4450_o }),
.f({\u_logic/_al_u1364_o ,\u_logic/_al_u4653_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTG0("(~(D*C)*~(B*~A))"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTG0(16'b0000101110111011),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1366 (
.a({open_n103480,\u_logic/I28ju6 }),
.b({open_n103481,\u_logic/_al_u1357_o }),
.c({open_n103482,\u_logic/K84iu6 }),
.d({open_n103485,\u_logic/Wvgax6 }),
.f({open_n103503,\u_logic/_al_u1366_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*C)*~(B*~A))"),
//.LUT1("~(~B*A*~(D*~C))"),
.INIT_LUT0(16'b0000101110111011),
.INIT_LUT1(16'b1101111111011101),
.MODE("LOGIC"))
\u_logic/_al_u1367|u_logic/_al_u1402 (
.a({\u_logic/_al_u1366_o ,\u_logic/_al_u1401_o }),
.b({\u_logic/_al_u1210_o ,\u_logic/Gdqow6 }),
.c({\u_logic/_al_u1363_o ,\u_logic/Lm1iu6 }),
.d({\u_logic/Gdqow6 ,\u_logic/Wvgax6 }),
.f({HWDATA[25],\u_logic/Khvow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~A*~(C*B))"),
//.LUTF1("(~(D*C)*~(B*~A))"),
//.LUTG0("(~D*~A*~(C*B))"),
//.LUTG1("(~(D*C)*~(B*~A))"),
.INIT_LUTF0(16'b0000000000010101),
.INIT_LUTF1(16'b0000101110111011),
.INIT_LUTG0(16'b0000000000010101),
.INIT_LUTG1(16'b0000101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1368|u_logic/_al_u1284 (
.a({\u_logic/Ka8ju6 ,\u_logic/_al_u1283_o }),
.b({\u_logic/_al_u1357_o ,\u_logic/J71iu6_lutinv }),
.c({\u_logic/R84iu6 ,\u_logic/I8lax6 }),
.d({\u_logic/Wvgax6 ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u1368_o ,\u_logic/_al_u1284_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~C*D))"),
//.LUT1("(~D*~C*~B*~A)"),
.INIT_LUT0(16'b1100000011001100),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"))
\u_logic/_al_u136|u_logic/_al_u4407 (
.a({\u_logic/Wyiax6 ,open_n103553}),
.b({\u_logic/Xuiax6 ,\u_logic/F4iax6 }),
.c({\u_logic/Ysiax6 ,\u_logic/Vzjpw6 }),
.d({\u_logic/Zqiax6 ,\u_logic/_al_u4358_o }),
.f({\u_logic/_al_u136_o ,\u_logic/_al_u4407_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*~(C*A))"),
//.LUTF1("~(B*~A*~(D*~C))"),
//.LUTG0("(~D*~B*~(C*A))"),
//.LUTG1("~(B*~A*~(D*~C))"),
.INIT_LUTF0(16'b0000000000010011),
.INIT_LUTF1(16'b1011111110111011),
.INIT_LUTG0(16'b0000000000010011),
.INIT_LUTG1(16'b1011111110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1374|u_logic/_al_u3755 (
.a({\u_logic/_al_u1251_o ,\u_logic/By4ju6 }),
.b({\u_logic/_al_u1368_o ,\u_logic/_al_u3723_o }),
.c({\u_logic/_al_u1373_o ,\u_logic/Nxkbx6 [9]}),
.d({\u_logic/Gdqow6 ,\u_logic/mult0_0_0_8 }),
.f({HWDATA[26],\u_logic/_al_u3755_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~A*~(C*B))"),
//.LUTF1("(~(D*C)*~(B*~A))"),
//.LUTG0("(~D*~A*~(C*B))"),
//.LUTG1("(~(D*C)*~(B*~A))"),
.INIT_LUTF0(16'b0000000000010101),
.INIT_LUTF1(16'b0000101110111011),
.INIT_LUTG0(16'b0000000000010101),
.INIT_LUTG1(16'b0000101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1375|u_logic/_al_u1266 (
.a({\u_logic/_al_u1265_o ,\u_logic/_al_u1265_o }),
.b({\u_logic/_al_u1357_o ,\u_logic/J71iu6_lutinv }),
.c({\u_logic/Y84iu6 ,\u_logic/I8lax6 }),
.d({\u_logic/Wvgax6 ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u1375_o ,\u_logic/_al_u1266_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1376|ethernet_i0/mac_test0/reg0_b0 (
.a({\u_logic/Qiqow6 ,\u_logic/Gkqow6 }),
.b({\u_logic/Sjqow6 ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r9_o[27] ,\u_logic/vis_r8_o[26] }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\u_logic/vis_r10_o[27] ,\u_logic/vis_r10_o[26] }),
.mi({open_n103633,\ethernet_i0/gmii_rxd [0]}),
.sr(RSTn_pad),
.f({\u_logic/_al_u1376_o ,\u_logic/_al_u1369_o }),
.q({open_n103637,\ethernet_i0/mac_test0/gmii_rxd_d0 [0]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u137|u_logic/_al_u4357 (
.a({\u_logic/E8iax6 ,open_n103638}),
.b({\u_logic/W0jax6 ,open_n103639}),
.c({\u_logic/W2jax6 ,\u_logic/Vzjpw6 }),
.d({\u_logic/Wwiax6 ,\u_logic/H2ciu6_lutinv }),
.f({\u_logic/_al_u137_o ,\u_logic/A2ciu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("~(C*~(B*D))"),
.INIT_LUT0(16'b1100111100001111),
.MODE("LOGIC"))
\u_logic/_al_u138 (
.b({open_n103666,\u_logic/_al_u137_o }),
.c({open_n103667,\u_logic/Vzjpw6 }),
.d({open_n103670,\u_logic/_al_u136_o }),
.f({open_n103684,\u_logic/X5phu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("~(~B*A*~(D*~C))"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b1101111111011101),
.MODE("LOGIC"))
\u_logic/_al_u1381|u_logic/_al_u4761 (
.a({\u_logic/_al_u1375_o ,\u_logic/Cmziu6_lutinv }),
.b({\u_logic/_al_u1267_o ,\u_logic/_al_u2647_o }),
.c({\u_logic/_al_u1380_o ,\u_logic/vis_pc_o[30] }),
.d({\u_logic/Gdqow6 ,\u_logic/Zsfpw6 [30]}),
.f({HWDATA[27],\u_logic/S98ow6 }));
// ../rtl/peripherals/FM_Display.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTF1("~(B*~A*~(D*~C))"),
//.LUTG0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTG1("~(B*~A*~(D*~C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110001010000),
.INIT_LUTF1(16'b1011111110111011),
.INIT_LUTG0(16'b1100110001010000),
.INIT_LUTG1(16'b1011111110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1388|FM_Display/reg9_b2 (
.a({\u_logic/_al_u1269_o ,\u_logic/_al_u1164_o }),
.b({\u_logic/W1wow6 ,\u_logic/Ud4iu6 }),
.c({\u_logic/_al_u1274_o ,\u_logic/I8lax6 }),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\u_logic/_al_u1357_o ,\u_logic/Wvgax6 }),
.sr(RSTn_pad),
.f({HWDATA[28],HWDATA[2]}),
.q({open_n103729,\FM_Display/channel_NO [2]})); // ../rtl/peripherals/FM_Display.v(31)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*~A)"),
//.LUT1("(~(D*C)*~(B*~A))"),
.INIT_LUT0(16'b0000000001000000),
.INIT_LUT1(16'b0000101110111011),
.MODE("LOGIC"))
\u_logic/_al_u1389|u_logic/_al_u1286 (
.a({\u_logic/_al_u1291_o ,\u_logic/_al_u1191_o }),
.b({\u_logic/_al_u1357_o ,\u_logic/J71iu6_lutinv }),
.c({\u_logic/T94iu6 ,\u_logic/I8lax6 }),
.d({\u_logic/Wvgax6 ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u1389_o ,\u_logic/_al_u1286_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19638)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u139|u_logic/Tl4bx6_reg (
.c({\u_logic/Rzciu6_lutinv ,\u_logic/Oxkpw6 }),
.ce(\u_logic/Mpgiu6 ),
.clk(clk_pad),
.d({\u_logic/Cznow6 ,\u_logic/Dv2bx6 }),
.mi({open_n103757,HWDATA[30]}),
.sr(cpuresetn),
.f({\u_logic/_al_u139_o ,\u_logic/_al_u4088_o }),
.q({open_n103772,\u_logic/Tl4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19638)
// ../rtl/topmodule/cortexm0ds_logic.v(17281)
EG_PHY_MSLICE #(
//.LUT0("(~C*~(A*~(D*~B)))"),
//.LUT1("(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000011100000101),
.INIT_LUT1(16'b1111110000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u13|u_logic/Vzjpw6_reg (
.a({open_n103773,\u_logic/U6piu6 }),
.b({\u_logic/Pmlpw6 ,\u_logic/_al_u4272_o }),
.c({\u_logic/Y8lpw6 ,\u_logic/Wofiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/L5lpw6 ,\u_logic/Vzjpw6 }),
.sr(cpuresetn),
.f({SWDO,open_n103787}),
.q({open_n103791,\u_logic/Vzjpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17281)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*C)*~(B*~A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000101110111011),
.MODE("LOGIC"))
\u_logic/_al_u1404|u_logic/_al_u2619 (
.a({\u_logic/_al_u1283_o ,\u_logic/Ok8iu6 }),
.b({\u_logic/_al_u1357_o ,\u_logic/_al_u2474_o }),
.c({\u_logic/M94iu6 ,\u_logic/vis_pc_o[3] }),
.d({\u_logic/Wvgax6 ,\u_logic/Wtxax6 }),
.f({\u_logic/Lqqow6 ,\u_logic/_al_u2619_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B)*~(~0*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~C*~(~D*B)*~(~1*A))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1410|u_logic/_al_u3887 (
.a({\u_logic/_al_u1409_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/Wxzhu6 ,\u_logic/_al_u3663_o }),
.c({\u_logic/Dfqow6 ,\u_logic/_al_u3886_o }),
.d({\u_logic/Sx3qw6 ,\u_logic/Z90iu6 }),
.e({open_n103814,\u_logic/L90iu6 }),
.f({\u_logic/_al_u1410_o ,\u_logic/_al_u3887_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*~D)"),
//.LUT1("~(B*~A*~(D*~C))"),
.INIT_LUT0(16'b0000000000001100),
.INIT_LUT1(16'b1011111110111011),
.MODE("LOGIC"))
\u_logic/_al_u1411|u_logic/_al_u1178 (
.a({\u_logic/_al_u1277_o ,open_n103835}),
.b({\u_logic/Lqqow6 ,\u_logic/I8lax6 }),
.c({\u_logic/_al_u1410_o ,\u_logic/Wvgax6 }),
.d({\u_logic/Gdqow6 ,\u_logic/_al_u1177_o }),
.f({HWDATA[29],\u_logic/_al_u1178_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0010001000001010),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0010001000001010),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1418|u_logic/_al_u1970 (
.a({open_n103856,\u_logic/_al_u1427_o }),
.b({open_n103857,\u_logic/Bclpw6 }),
.c({\u_logic/Yklpw6 ,\u_logic/Jflpw6 }),
.d({\u_logic/Bclpw6 ,\u_logic/Sdlpw6 }),
.f({\u_logic/_al_u1418_o ,\u_logic/_al_u1970_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*(A*~(B)*~(D)*~(0)+~(A)*~(B)*~(D)*0+A*~(B)*~(D)*0+A*B*~(D)*0+~(A)*~(B)*D*0+A*~(B)*D*0+A*B*D*0))"),
//.LUTF1("(A*~(~D*C*B))"),
//.LUTG0("(~C*(A*~(B)*~(D)*~(1)+~(A)*~(B)*~(D)*1+A*~(B)*~(D)*1+A*B*~(D)*1+~(A)*~(B)*D*1+A*~(B)*D*1+A*B*D*1))"),
//.LUTG1("(A*~(~D*C*B))"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b1010101000101010),
.INIT_LUTG0(16'b0000101100001011),
.INIT_LUTG1(16'b1010101000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1419|u_logic/_al_u654 (
.a({\u_logic/I6yhu6_lutinv ,\u_logic/_al_u618_o }),
.b({\u_logic/_al_u1053_o ,\u_logic/Bclpw6 }),
.c({\u_logic/_al_u1418_o ,\u_logic/Jflpw6 }),
.d({\u_logic/Krlpw6 ,\u_logic/Krlpw6 }),
.e({open_n103884,\u_logic/Sdlpw6 }),
.f({\u_logic/_al_u1419_o ,\u_logic/_al_u654_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1422|u_logic/_al_u1054 (
.c({\u_logic/Epyhu6 ,\u_logic/Krlpw6 }),
.d({\u_logic/_al_u632_o ,\u_logic/_al_u1053_o }),
.f({\u_logic/_al_u1422_o ,\u_logic/W6yhu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(~D*~(~A*~(C*B)))"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(~D*~(~A*~(C*B)))"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000000011101010),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0000000011101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1423|u_logic/_al_u1420 (
.a({\u_logic/_al_u1420_o ,open_n103929}),
.b({\u_logic/_al_u1422_o ,\u_logic/Bclpw6 }),
.c({\u_logic/Kalpw6 ,\u_logic/Sdlpw6 }),
.d({\u_logic/Rilpw6 ,\u_logic/Hmzhu6_lutinv }),
.f({\u_logic/_al_u1423_o ,\u_logic/_al_u1420_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*B*~D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000001100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000001100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1426|u_logic/_al_u1865 (
.b({open_n103956,\u_logic/Iyyhu6 }),
.c({\u_logic/_al_u1425_o ,\u_logic/Bclpw6 }),
.d({\u_logic/M7zhu6 ,\u_logic/Sbyhu6 }),
.f({\u_logic/_al_u1426_o ,\u_logic/X9zhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1427|u_logic/_al_u618 (
.c({\u_logic/Yklpw6 ,\u_logic/Yklpw6 }),
.d({\u_logic/Kalpw6 ,\u_logic/Kalpw6 }),
.f({\u_logic/_al_u1427_o ,\u_logic/_al_u618_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(C@(D*B)))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(A*(C@(D*B)))"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b0010100010100000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0010100010100000),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1429|u_logic/_al_u1430 (
.a({\u_logic/_al_u1419_o ,\u_logic/T8yhu6_lutinv }),
.b({\u_logic/_al_u1423_o ,\u_logic/_al_u133_o }),
.c({\u_logic/_al_u1426_o ,\u_logic/Jflpw6 }),
.d({\u_logic/Dsyhu6_lutinv ,\u_logic/Kalpw6 }),
.f({\u_logic/_al_u1429_o ,\u_logic/_al_u1430_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18279)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("~(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111111111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u142|u_logic/U7dax6_reg (
.c({\u_logic/Dg2qw6 ,\u_logic/O1mpw6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Ws4iu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/Bs4iu6 ,\u_logic/Gk4iu6 }),
.q({open_n104053,\u_logic/U7dax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18279)
EG_PHY_MSLICE #(
//.LUT0("(~(~C*~A)*~(B)*~(D)+~(~C*~A)*B*~(D)+~(~(~C*~A))*B*D+~(~C*~A)*B*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1100110011111010),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1432|u_logic/_al_u1708 (
.a({open_n104054,\u_logic/_al_u1706_o }),
.b({open_n104055,\u_logic/Reyhu6 }),
.c({\u_logic/Kalpw6 ,\u_logic/Bclpw6 }),
.d({\u_logic/_al_u1422_o ,\u_logic/Rilpw6 }),
.f({\u_logic/_al_u1432_o ,\u_logic/Zbyhu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~C*~B*~(0*~A)))"),
//.LUT1("(D*~(~C*~B*~(1*~A)))"),
.INIT_LUT0(16'b1111110000000000),
.INIT_LUT1(16'b1111110100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1434 (
.a({\u_logic/I6yhu6_lutinv ,\u_logic/I6yhu6_lutinv }),
.b({\u_logic/_al_u1432_o ,\u_logic/_al_u1432_o }),
.c({\u_logic/Vnyhu6_lutinv ,\u_logic/Vnyhu6_lutinv }),
.d({\u_logic/U5yhu6 ,\u_logic/U5yhu6 }),
.mi({open_n104088,\u_logic/Yklpw6 }),
.fx({open_n104093,\u_logic/_al_u1434_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~A*~(B*(0@C)))"),
//.LUT1("(~D*~A*~(B*(1@C)))"),
.INIT_LUT0(16'b0000000000010101),
.INIT_LUT1(16'b0000000001010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1435 (
.a({\u_logic/_al_u1434_o ,\u_logic/_al_u1434_o }),
.b({\u_logic/T8yhu6_lutinv ,\u_logic/T8yhu6_lutinv }),
.c({\u_logic/_al_u655_o ,\u_logic/_al_u655_o }),
.d({\u_logic/_al_u1050_o ,\u_logic/_al_u1050_o }),
.mi({open_n104108,\u_logic/Yklpw6 }),
.fx({open_n104113,\u_logic/_al_u1435_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~B*~(~C*A)))"),
//.LUTF1("(~D*~(~C*~B))"),
//.LUTG0("(D*~(~B*~(~C*A)))"),
//.LUTG1("(~D*~(~C*~B))"),
.INIT_LUTF0(16'b1100111000000000),
.INIT_LUTF1(16'b0000000011111100),
.INIT_LUTG0(16'b1100111000000000),
.INIT_LUTG1(16'b0000000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1437|u_logic/_al_u1581 (
.a({open_n104116,\u_logic/_al_u197_o }),
.b({\u_logic/Owoiu6 ,\u_logic/Hirpw6 }),
.c({\u_logic/T1vpw6 ,\u_logic/T1vpw6 }),
.d({\u_logic/_al_u910_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1437_o ,\u_logic/_al_u1581_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~C*~(0*D*B)))"),
//.LUTF1("(D*~(~A*~(C*B)))"),
//.LUTG0("(A*~(~C*~(1*D*B)))"),
//.LUTG1("(D*~(~A*~(C*B)))"),
.INIT_LUTF0(16'b1010000010100000),
.INIT_LUTF1(16'b1110101000000000),
.INIT_LUTG0(16'b1010100010100000),
.INIT_LUTG1(16'b1110101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1438|u_logic/_al_u982 (
.a({\u_logic/_al_u1437_o ,\u_logic/_al_u651_o }),
.b({\u_logic/_al_u651_o ,\u_logic/Ldoiu6_lutinv }),
.c({\u_logic/_al_u686_o ,\u_logic/_al_u688_o }),
.d({\u_logic/Hirpw6 ,\u_logic/Fr0iu6_lutinv }),
.e({open_n104143,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u1438_o ,\u_logic/_al_u982_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~0*~B)*(~(A)*C*~(D)+A*C*~(D)+~(A)*~(C)*D+A*~(C)*D+~(A)*C*D))"),
//.LUTF1("(~D*~A*~(C*B))"),
//.LUTG0("(~(~1*~B)*(~(A)*C*~(D)+A*C*~(D)+~(A)*~(C)*D+A*~(C)*D+~(A)*C*D))"),
//.LUTG1("(~D*~A*~(C*B))"),
.INIT_LUTF0(16'b0100110011000000),
.INIT_LUTF1(16'b0000000000010101),
.INIT_LUTG0(16'b0101111111110000),
.INIT_LUTG1(16'b0000000000010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1440|u_logic/_al_u1148 (
.a({\u_logic/_al_u196_o ,\u_logic/_al_u1147_o }),
.b({\u_logic/Pt2ju6 ,\u_logic/Ufopw6 }),
.c({\u_logic/Pthiu6 ,\u_logic/Vzupw6 }),
.d({\u_logic/Nkaju6_lutinv ,\u_logic/Xxupw6 }),
.e({open_n104166,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1440_o ,\u_logic/_al_u1148_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*C*B))"),
//.LUTF1("(D*~(~C*B*~A))"),
//.LUTG0("(A*~(~D*C*B))"),
//.LUTG1("(D*~(~C*B*~A))"),
.INIT_LUTF0(16'b1010101000101010),
.INIT_LUTF1(16'b1111101100000000),
.INIT_LUTG0(16'b1010101000101010),
.INIT_LUTG1(16'b1111101100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1442|u_logic/_al_u979 (
.a({\u_logic/_al_u1438_o ,\u_logic/Lu0iu6 }),
.b({\u_logic/_al_u1440_o ,\u_logic/Cc2ju6_lutinv }),
.c({\u_logic/_al_u1441_o ,\u_logic/Fr0iu6_lutinv }),
.d({\u_logic/Vgjpw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1442_o ,\u_logic/Uo0iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(~(C*B)*~(~D*A))"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"))
\u_logic/_al_u1443|u_logic/_al_u2070 (
.a({\u_logic/Bi0iu6 ,open_n104211}),
.b({\u_logic/Cc2ju6_lutinv ,\u_logic/Yp8iu6 }),
.c({\u_logic/Fr0iu6_lutinv ,\u_logic/Hirpw6 }),
.d({\u_logic/Aujpw6 ,\u_logic/Yi7ju6_lutinv }),
.f({\u_logic/_al_u1443_o ,\u_logic/_al_u2070_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1444|u_logic/_al_u3965 (
.a({open_n104232,\u_logic/_al_u413_o }),
.b({\u_logic/_al_u975_o ,\u_logic/_al_u975_o }),
.c({\u_logic/Ydopw6 ,\u_logic/Aujpw6 }),
.d({\u_logic/S2ziu6_lutinv ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1444_o ,\u_logic/_al_u3965_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*D))"),
//.LUT1("(D*(C@B))"),
.INIT_LUT0(16'b0000001100001111),
.INIT_LUT1(16'b0011110000000000),
.MODE("LOGIC"))
\u_logic/_al_u1445|u_logic/_al_u1588 (
.b({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.c({\u_logic/Xxupw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/Nkaju6_lutinv ,\u_logic/Pt2ju6 }),
.f({\u_logic/_al_u1445_o ,\u_logic/_al_u1588_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~D*~C*B*A))"),
//.LUT1("(1*~(~D*~C*B*A))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111111111110111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1446 (
.a({\u_logic/_al_u1443_o ,\u_logic/_al_u1443_o }),
.b({\u_logic/Lu0iu6 ,\u_logic/Lu0iu6 }),
.c({\u_logic/_al_u1444_o ,\u_logic/_al_u1444_o }),
.d({\u_logic/_al_u1445_o ,\u_logic/_al_u1445_o }),
.mi({open_n104287,\u_logic/Vgjpw6 }),
.fx({open_n104292,\u_logic/_al_u1446_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1447|u_logic/_al_u2069 (
.b({\u_logic/_al_u391_o ,open_n104297}),
.c({\u_logic/Vgjpw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/Pt2ju6 ,\u_logic/R3vpw6 }),
.f({\u_logic/Zf7ju6 ,\u_logic/Yp8iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*~A*~(~0*~D))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~B*~A*~(~1*~D))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0001000000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0001000000010000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1449|u_logic/_al_u2666 (
.a({open_n104318,\u_logic/_al_u2661_o }),
.b({open_n104319,\u_logic/_al_u2662_o }),
.c({\u_logic/T1vpw6 ,\u_logic/_al_u2664_o }),
.d({\u_logic/Hirpw6 ,\u_logic/_al_u2665_o }),
.e({open_n104322,\u_logic/F9vpw6 }),
.f({\u_logic/Sy2ju6 ,\u_logic/_al_u2666_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u144|u_logic/_al_u100 (
.c({\u_logic/Dg2qw6 ,\u_logic/Dg2qw6 }),
.d({\u_logic/Kw1iu6_lutinv ,\u_logic/Zt1iu6 }),
.f({\u_logic/n533 ,\u_logic/n530 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~((~(0*D)*~C))+A*B*~((~(0*D)*~C))+~(A)*~(B)*(~(0*D)*~C)+A*~(B)*(~(0*D)*~C)+A*B*(~(0*D)*~C))"),
//.LUT1("(~(A)*B*~((~(1*D)*~C))+A*B*~((~(1*D)*~C))+~(A)*~(B)*(~(1*D)*~C)+A*~(B)*(~(1*D)*~C)+A*B*(~(1*D)*~C))"),
.INIT_LUT0(16'b1100101111001011),
.INIT_LUT1(16'b1100110011001011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1451 (
.a({\u_logic/_al_u1442_o ,\u_logic/_al_u1442_o }),
.b({\u_logic/_al_u1446_o ,\u_logic/_al_u1446_o }),
.c({\u_logic/_al_u1448_o ,\u_logic/_al_u1448_o }),
.d({\u_logic/_al_u1450_o ,\u_logic/_al_u1450_o }),
.mi({open_n104379,\u_logic/Rkkax6 }),
.fx({open_n104384,\u_logic/_al_u1451_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18764)
EG_PHY_MSLICE #(
//.LUT0("~(C*D)"),
//.LUT1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111111111),
.INIT_LUT1(16'b1111101101110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1454|u_logic/Wrmax6_reg (
.a({\u_logic/_al_u1401_o ,open_n104387}),
.b({\u_logic/_al_u1451_o ,open_n104388}),
.c({\u_logic/A85ju6_lutinv ,\u_logic/_al_u3823_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/T75ju6 ,\u_logic/_al_u4593_o }),
.f({\u_logic/D5epw6 ,\u_logic/K3niu6 }),
.q({open_n104405,\u_logic/vis_r10_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(18764)
EG_PHY_LSLICE #(
//.LUTF0("~(D@(C*~(B*~A)))"),
//.LUTF1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("~(D@(C*~(B*~A)))"),
//.LUTG1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b1011000001001111),
.INIT_LUTF1(16'b1111101101110011),
.INIT_LUTG0(16'b1011000001001111),
.INIT_LUTG1(16'b1111101101110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1455|u_logic/_al_u1661 (
.a({\u_logic/_al_u1363_o ,\u_logic/_al_u1416_o }),
.b({\u_logic/_al_u1451_o ,\u_logic/_al_u1442_o }),
.c({\u_logic/A85ju6_lutinv ,\u_logic/_al_u1660_o }),
.d({\u_logic/T75ju6 ,\u_logic/_al_u1446_o }),
.f({\u_logic/Qbfpw6 [25],\u_logic/Z2epw6 }));
EG_PHY_MSLICE #(
//.LUT0("~(D@(C*~(B*~A)))"),
//.LUT1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUT0(16'b1011000001001111),
.INIT_LUT1(16'b1111101101110011),
.MODE("LOGIC"))
\u_logic/_al_u1456|u_logic/_al_u1647 (
.a({\u_logic/_al_u1373_o ,\u_logic/_al_u1306_o }),
.b({\u_logic/_al_u1451_o ,\u_logic/_al_u1442_o }),
.c({\u_logic/A85ju6_lutinv ,\u_logic/_al_u1646_o }),
.d({\u_logic/T75ju6 ,\u_logic/_al_u1446_o }),
.f({\u_logic/Qbfpw6 [26],\u_logic/G3epw6 }));
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUT0(16'b1111101101110011),
.MODE("LOGIC"))
\u_logic/_al_u1457 (
.a({open_n104450,\u_logic/_al_u1380_o }),
.b({open_n104451,\u_logic/_al_u1451_o }),
.c({open_n104452,\u_logic/A85ju6_lutinv }),
.d({open_n104455,\u_logic/T75ju6 }),
.f({open_n104469,\u_logic/Qbfpw6 [27]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18746)
EG_PHY_LSLICE #(
//.LUTF0("~(C*D)"),
//.LUTF1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("~(C*D)"),
//.LUTG1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b1111101101110011),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b1111101101110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1459|u_logic/Zrlax6_reg (
.a({\u_logic/_al_u1410_o ,open_n104475}),
.b({\u_logic/_al_u1451_o ,open_n104476}),
.c({\u_logic/A85ju6_lutinv ,\u_logic/_al_u3805_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/T75ju6 ,\u_logic/_al_u4651_o }),
.f({\u_logic/Qbfpw6 [29],\u_logic/Sokiu6 }),
.q({open_n104497,\u_logic/vis_r10_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(18746)
EG_PHY_LSLICE #(
//.LUTF0("~(D@(B*~(C*~A)))"),
//.LUTF1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("~(D@(B*~(C*~A)))"),
//.LUTG1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b1000110001110011),
.INIT_LUTF1(16'b1111101101110011),
.INIT_LUTG0(16'b1000110001110011),
.INIT_LUTG1(16'b1111101101110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1460|u_logic/_al_u1476 (
.a({\u_logic/_al_u1394_o ,\u_logic/_al_u1191_o }),
.b({\u_logic/_al_u1451_o ,\u_logic/_al_u1475_o }),
.c({\u_logic/A85ju6_lutinv ,\u_logic/_al_u1442_o }),
.d({\u_logic/T75ju6 ,\u_logic/_al_u1446_o }),
.f({\u_logic/Qbfpw6 [30],\u_logic/E2epw6 }));
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b1111101101110011),
.INIT_LUTF1(16'b1111101101110011),
.INIT_LUTG0(16'b1111101101110011),
.INIT_LUTG1(16'b1111101101110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1461|u_logic/_al_u1458 (
.a({\u_logic/_al_u1354_o ,\u_logic/_al_u1386_o }),
.b({\u_logic/_al_u1451_o ,\u_logic/_al_u1451_o }),
.c({\u_logic/A85ju6_lutinv ,\u_logic/A85ju6_lutinv }),
.d({\u_logic/T75ju6 ,\u_logic/T75ju6 }),
.f({\u_logic/Qbfpw6 [24],\u_logic/Qbfpw6 [28]}));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1462|u_logic/_al_u641 (
.c({\u_logic/Vzupw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u1462_o ,\u_logic/_al_u641_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*~(~D*~C*~A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*~(~D*~C*~A))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100110011001000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100110011001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1463|u_logic/_al_u1063 (
.a({\u_logic/_al_u160_o ,open_n104574}),
.b({\u_logic/D6kiu6_lutinv ,open_n104575}),
.c({\u_logic/_al_u1462_o ,\u_logic/T1vpw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u1463_o ,\u_logic/Yecpw6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~B*~A*~(D*~C)))"),
//.LUT1("(1*~(~B*~A*~(D*~C)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1110111111101110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1465 (
.a({\u_logic/_al_u1097_o ,\u_logic/_al_u1097_o }),
.b({\u_logic/_al_u1463_o ,\u_logic/_al_u1463_o }),
.c({\u_logic/_al_u1464_o ,\u_logic/_al_u1464_o }),
.d({\u_logic/_al_u124_o ,\u_logic/_al_u124_o }),
.mi({open_n104612,\u_logic/Vgjpw6 }),
.fx({open_n104617,\u_logic/Kc6ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~D*~C*~B))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0101010101010100),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u1466|u_logic/_al_u671 (
.a({\u_logic/Zf7ju6 ,\u_logic/_al_u669_o }),
.b({\u_logic/_al_u1450_o ,\u_logic/_al_u670_o }),
.c({\u_logic/Lgkax6 ,\u_logic/Hirpw6 }),
.d({\u_logic/P0kax6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u1466_o ,\u_logic/_al_u671_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*~D)"),
//.LUTF1("(B*~(C*D))"),
//.LUTG0("(C*~B*~D)"),
//.LUTG1("(B*~(C*D))"),
.INIT_LUTF0(16'b0000000000110000),
.INIT_LUTF1(16'b0000110011001100),
.INIT_LUTG0(16'b0000000000110000),
.INIT_LUTG1(16'b0000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1467|u_logic/_al_u3922 (
.b({\u_logic/_al_u1466_o ,\u_logic/_al_u3915_o }),
.c({\u_logic/V6jax6 ,\u_logic/F93ju6_lutinv }),
.d({\u_logic/Kc6ju6 ,\u_logic/_al_u3712_o }),
.f({\u_logic/_al_u1467_o ,\u_logic/_al_u3922_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u146|u_logic/_al_u3969 (
.b({open_n104668,\u_logic/Sojax6 }),
.c({\u_logic/R3vpw6 ,\u_logic/Ssjax6 }),
.d({\u_logic/Fq8iu6 ,\u_logic/Rwjax6 }),
.f({\u_logic/_al_u146_o ,\u_logic/Wt2ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+A*C*~(D)*~(0)+A*~(C)*D*~(0)+A*~(C)*~(D)*0))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+A*C*~(D)*~(1)+A*~(C)*D*~(1)+A*~(C)*~(D)*1))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000001000100011),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1471|u_logic/_al_u1676 (
.a({open_n104693,\u_logic/_al_u718_o }),
.b({open_n104694,\u_logic/_al_u1675_o }),
.c({\u_logic/Ufopw6 ,\u_logic/Fkrpw6 }),
.d({\u_logic/Aujpw6 ,\u_logic/Umkax6 }),
.e({open_n104697,\u_logic/V6jax6 }),
.f({\u_logic/Yi7ju6_lutinv ,\u_logic/_al_u1676_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUTF1("(A*~(~B*~(~D*~C)))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUTG1("(A*~(~B*~(~D*~C)))"),
.INIT_LUTF0(16'b0101111111110011),
.INIT_LUTF1(16'b1000100010001010),
.INIT_LUTG0(16'b0101111111110011),
.INIT_LUTG1(16'b1000100010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1472|u_logic/_al_u3714 (
.a({\u_logic/_al_u197_o ,\u_logic/_al_u162_o }),
.b({\u_logic/Yi7ju6_lutinv ,\u_logic/_al_u413_o }),
.c({\u_logic/Vzupw6 ,\u_logic/Aujpw6 }),
.d({\u_logic/Yvjpw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1472_o ,\u_logic/Ejaju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~(~A*~(~0*~B)))"),
//.LUT1("(D*~C*~(~A*~(~1*~B)))"),
.INIT_LUT0(16'b0000101100000000),
.INIT_LUT1(16'b0000101000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1473 (
.a({\u_logic/Fq8iu6 ,\u_logic/Fq8iu6 }),
.b({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.c({\u_logic/P5vpw6 ,\u_logic/P5vpw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.mi({open_n104754,\u_logic/Yvjpw6 }),
.fx({open_n104759,\u_logic/_al_u1473_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*~(~D*~C*~B)))"),
//.LUT1("(~A*~(1*~(~D*~C*~B)))"),
.INIT_LUT0(16'b0101010101010101),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1474 (
.a({\u_logic/Zf7ju6 ,\u_logic/Zf7ju6 }),
.b({\u_logic/_al_u1470_o ,\u_logic/_al_u1470_o }),
.c({\u_logic/_al_u1472_o ,\u_logic/_al_u1472_o }),
.d({\u_logic/_al_u1473_o ,\u_logic/_al_u1473_o }),
.mi({open_n104774,\u_logic/Vgjpw6 }),
.fx({open_n104779,\u_logic/_al_u1474_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(D*~(C*~B))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1100111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1475|u_logic/_al_u3659 (
.b({\u_logic/_al_u1474_o ,open_n104784}),
.c({\u_logic/Umkax6 ,\u_logic/Df3ju6 }),
.d({\u_logic/_al_u1469_o ,\u_logic/N7pow6 }),
.f({\u_logic/_al_u1475_o ,\u_logic/V2kow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*~(~B*~A))"),
//.LUT1("(D*~(~B*~(C*A)))"),
.INIT_LUT0(16'b1110000000000000),
.INIT_LUT1(16'b1110110000000000),
.MODE("LOGIC"))
\u_logic/_al_u1479|u_logic/_al_u1059 (
.a({\u_logic/_al_u397_o ,\u_logic/_al_u397_o }),
.b({\u_logic/_al_u402_o ,\u_logic/_al_u402_o }),
.c({\u_logic/Ssjax6 ,\u_logic/Ssjax6 }),
.d({\u_logic/Wkipw6 ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u1479_o ,\u_logic/_al_u1059_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17444)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*C*B*A)"),
//.LUTF1("(~C*B*A*~(0*D))"),
//.LUTG0("~(~D*C*B*A)"),
//.LUTG1("(~C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111101111111),
.INIT_LUTF1(16'b0000100000001000),
.INIT_LUTG0(16'b1111111101111111),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1480|u_logic/Htmpw6_reg (
.a({\u_logic/Gpyiu6 ,\u_logic/Gpyiu6 }),
.b({\u_logic/_al_u1478_o ,\u_logic/_al_u396_o }),
.c({\u_logic/_al_u1479_o ,\u_logic/Ck1ju6 }),
.ce(\u_logic/n3178 ),
.clk(clk_pad),
.d({\u_logic/A95iu6_lutinv ,\u_logic/_al_u403_o }),
.e({\u_logic/Wfspw6 ,open_n104825}),
.f({\u_logic/_al_u1480_o ,open_n104841}),
.q({open_n104845,\u_logic/Htmpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17444)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
//.LUT1("(~A*~(~B*~(D*~C)))"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b0100010101000100),
.MODE("LOGIC"))
\u_logic/_al_u1482|u_logic/_al_u395 (
.a({\u_logic/Iekax6 ,\u_logic/Iekax6 }),
.b({\u_logic/Lgkax6 ,\u_logic/Lgkax6 }),
.c({\u_logic/Oikax6 ,\u_logic/Oikax6 }),
.d({\u_logic/Rkkax6 ,\u_logic/Rkkax6 }),
.f({\u_logic/_al_u1482_o ,\u_logic/_al_u395_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1485|u_logic/_al_u1593 (
.c({\u_logic/_al_u397_o ,\u_logic/Skjax6 }),
.d({\u_logic/Kxziu6_lutinv ,\u_logic/_al_u1485_o }),
.f({\u_logic/_al_u1485_o ,\u_logic/Z4jiu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1486 (
.c({open_n104898,\u_logic/Nbkiu6_lutinv }),
.d({open_n104901,\u_logic/Kxziu6_lutinv }),
.f({open_n104919,\u_logic/_al_u1486_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B*~(D*~C)))"),
//.LUTF1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("(A*~(B*~(D*~C)))"),
//.LUTG1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b0010101000100010),
.INIT_LUTF1(16'b0011000000111111),
.INIT_LUTG0(16'b0010101000100010),
.INIT_LUTG1(16'b0011000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1487|u_logic/_al_u1594 (
.a({open_n104925,\u_logic/Z4jiu6_lutinv }),
.b({\u_logic/_al_u1486_o ,\u_logic/Rwjax6 }),
.c({\u_logic/Aujiu6 ,\u_logic/Sojax6 }),
.d({\u_logic/_al_u1485_o ,\u_logic/Ssjax6 }),
.f({\u_logic/Ubkiu6 ,\u_logic/_al_u1594_o }));
EG_PHY_LSLICE #(
//.LUTF0("~((~B*A)*~((D*C))*~(0)+(~B*A)*(D*C)*~(0)+~((~B*A))*(D*C)*0+(~B*A)*(D*C)*0)"),
//.LUTF1("(C*D)"),
//.LUTG0("~((~B*A)*~((D*C))*~(1)+(~B*A)*(D*C)*~(1)+~((~B*A))*(D*C)*1+(~B*A)*(D*C)*1)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1101110111011101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u148|u_logic/_al_u199 (
.a({open_n104950,\u_logic/_al_u196_o }),
.b({open_n104951,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/_al_u147_o ,\u_logic/_al_u197_o }),
.d({\u_logic/_al_u146_o ,\u_logic/Md0iu6_lutinv }),
.e({open_n104954,\u_logic/Ydopw6 }),
.f({\u_logic/L0niu6 ,\u_logic/Xuzhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(A*~(D*C*B))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(A*~(D*C*B))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0010101010101010),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1490|u_logic/_al_u1488 (
.a({\u_logic/Ubkiu6 ,open_n104975}),
.b({\u_logic/Ia8iu6_lutinv ,open_n104976}),
.c({\u_logic/Nbkiu6_lutinv ,\u_logic/Dxvpw6 }),
.d({\u_logic/Nyiiu6 ,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/_al_u1490_o ,\u_logic/Ia8iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1491|u_logic/_al_u1599 (
.a({open_n105001,\u_logic/Ljiiu6 }),
.b({open_n105002,\u_logic/Hhiiu6 }),
.c({\u_logic/Kxziu6_lutinv ,\u_logic/_al_u1491_o }),
.d({\u_logic/A95iu6_lutinv ,\u_logic/Skjax6 }),
.f({\u_logic/_al_u1491_o ,\u_logic/_al_u1599_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*~(D)+B*~(C)*D+B*C*D))"),
//.LUTF1("(~B*A*~(D*C))"),
//.LUTG0("(A*(~(B)*C*~(D)+B*~(C)*D+B*C*D))"),
//.LUTG1("(~B*A*~(D*C))"),
.INIT_LUTF0(16'b1000100000100000),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b1000100000100000),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1492|u_logic/_al_u713 (
.a({\u_logic/_al_u1490_o ,\u_logic/Llaow6_lutinv }),
.b({\u_logic/_al_u1491_o ,\u_logic/Dxvpw6 }),
.c({\u_logic/Llaow6_lutinv ,\u_logic/P14qw6 }),
.d({\u_logic/_al_u391_o ,\u_logic/U9ypw6 }),
.f({\u_logic/Yb8iu6 ,\u_logic/Fb1ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~A*~(~C*~B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0101010000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1493|u_logic/_al_u1993 (
.a({open_n105051,\u_logic/Ae0iu6_lutinv }),
.b({open_n105052,\u_logic/_al_u391_o }),
.c({\u_logic/Kxziu6_lutinv ,\u_logic/_al_u1600_o }),
.d({\u_logic/_al_u1232_o ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u1493_o ,\u_logic/_al_u1993_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17286)
EG_PHY_MSLICE #(
//.LUT0("~(~C*A*~(D*~B))"),
//.LUT1("(~(C*~B)*~(D*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011111110101),
.INIT_LUT1(16'b1000101011001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1496|u_logic/U1kpw6_reg (
.a({\u_logic/Yb8iu6 ,\u_logic/_al_u2345_o }),
.b({\u_logic/Hd8iu6_lutinv ,\u_logic/Yb8iu6 }),
.c({\u_logic/W4jax6 ,\u_logic/_al_u2346_o }),
.ce(\u_logic/n1360 ),
.clk(clk_pad),
.d({\u_logic/Wfspw6 ,\u_logic/Wkipw6 }),
.f({\u_logic/_al_u1496_o ,open_n105086}),
.q({open_n105090,\u_logic/U1kpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17286)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1497|u_logic/_al_u400 (
.c({\u_logic/Ssjax6 ,\u_logic/Skjax6 }),
.d({\u_logic/_al_u1485_o ,\u_logic/P0kax6 }),
.f({\u_logic/Ttjiu6_lutinv ,\u_logic/L88iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*~B*~(~D*~C)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~A*~(1*~B*~(~D*~C)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0101010101010101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0100010001000101),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1499|u_logic/_al_u1598 (
.a({open_n105119,\u_logic/_al_u1493_o }),
.b({open_n105120,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/Sojax6 ,\u_logic/_al_u1596_o }),
.d({\u_logic/_al_u402_o ,\u_logic/_al_u397_o }),
.e({open_n105123,\u_logic/Jiiiu6 }),
.f({\u_logic/_al_u1499_o ,\u_logic/Hhiiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("~(C*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("~(C*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000111111111111),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000111111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u14|u_logic/_al_u157 (
.b({open_n105146,\u_logic/Gr2qw6 }),
.c({\u_logic/Uofax6 ,\u_logic/Isjpw6 }),
.d({\u_logic/Sqfax6 ,\u_logic/Wofiu6_lutinv }),
.f({\u_logic/n274 ,\u_logic/HALTED }));
EG_PHY_MSLICE #(
//.LUT0("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*~(B)*C+A*~(B)*C+~(A)*B*C))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0111101000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u1500|u_logic/_al_u954 (
.a({\u_logic/Ttjiu6_lutinv ,\u_logic/P14qw6 }),
.b({\u_logic/_al_u1498_o ,\u_logic/Rwjax6 }),
.c({\u_logic/_al_u1499_o ,\u_logic/Skjax6 }),
.d({\u_logic/Aujiu6 ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u1500_o ,\u_logic/_al_u954_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D@C@B@A)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0110100110010110),
.MODE("LOGIC"))
\u_logic/_al_u1501|u_logic/_al_u1478 (
.a({\u_logic/Irmpw6 ,\u_logic/_al_u723_o }),
.b({\u_logic/S7mpw6 ,\u_logic/_al_u162_o }),
.c({\u_logic/Wfspw6 ,\u_logic/Iekax6 }),
.d({\u_logic/Wkipw6 ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u1501_o ,\u_logic/_al_u1478_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~((C@B@A))*~(D)*~(0)+(C@B@A)*~(D)*~(0)+~((C@B@A))*D*~(0)+~((C@B@A))*~(D)*0)"),
//.LUTF1("(D@C@B@A)"),
//.LUTG0("(~((C@B@A))*~(D)*~(1)+(C@B@A)*~(D)*~(1)+~((C@B@A))*D*~(1)+~((C@B@A))*~(D)*1)"),
//.LUTG1("(D@C@B@A)"),
.INIT_LUTF0(16'b0110100111111111),
.INIT_LUTF1(16'b0110100110010110),
.INIT_LUTG0(16'b0000000001101001),
.INIT_LUTG1(16'b0110100110010110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1502|u_logic/_al_u1840 (
.a({\u_logic/_al_u1501_o ,\u_logic/_al_u1501_o }),
.b({\u_logic/Jckax6 ,\u_logic/Jckax6 }),
.c({\u_logic/Jgxpw6 ,\u_logic/Jgxpw6 }),
.d({\u_logic/W4jax6 ,\u_logic/N4kax6 }),
.e({open_n105213,\u_logic/W4jax6 }),
.f({\u_logic/_al_u1502_o ,\u_logic/_al_u1840_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18701)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~(D*~A))"),
//.LUTF1("(~A*(D@C@B))"),
//.LUTG0("~(C*B*~(D*~A))"),
//.LUTG1("(~A*(D@C@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111100111111),
.INIT_LUTF1(16'b0100000100010100),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b0100000100010100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1503|u_logic/Iekax6_reg (
.a({\u_logic/_al_u1500_o ,\u_logic/_al_u1599_o }),
.b({\u_logic/_al_u1502_o ,\u_logic/_al_u1607_o }),
.c({\u_logic/L88iu6_lutinv ,\u_logic/Aaiiu6 }),
.ce(\u_logic/D8iiu6 ),
.clk(clk_pad),
.d({\u_logic/N4kax6 ,\u_logic/Wfspw6 }),
.f({\u_logic/_al_u1503_o ,open_n105251}),
.q({open_n105255,\u_logic/Iekax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18701)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1506|u_logic/_al_u2123 (
.b({\u_logic/Bi0iu6 ,\u_logic/_al_u162_o }),
.c({\u_logic/_al_u1505_o ,\u_logic/R3vpw6 }),
.d({\u_logic/_al_u1504_o ,\u_logic/S2ziu6_lutinv }),
.f({\u_logic/_al_u1506_o ,\u_logic/_al_u2123_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~0*~D*~C*B))"),
//.LUTF1("(~(D*C*B)*~(~0*A))"),
//.LUTG0("(~A*~(~1*~D*~C*B))"),
//.LUTG1("(~(D*C*B)*~(~1*A))"),
.INIT_LUTF0(16'b0101010101010001),
.INIT_LUTF1(16'b0001010101010101),
.INIT_LUTG0(16'b0101010101010101),
.INIT_LUTG1(16'b0011111111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1507|u_logic/_al_u2324 (
.a({\u_logic/Qe8iu6_lutinv ,\u_logic/_al_u2323_o }),
.b({\u_logic/Ia8iu6_lutinv ,\u_logic/U98iu6 }),
.c({\u_logic/_al_u402_o ,\u_logic/_al_u641_o }),
.d({\u_logic/_al_u1246_o ,\u_logic/Hirpw6 }),
.e({\u_logic/R3vpw6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u1507_o ,\u_logic/_al_u2324_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*C))"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b0000001000100010),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u1509|u_logic/_al_u2745 (
.a({\u_logic/_al_u1506_o ,\u_logic/_al_u2742_o }),
.b({\u_logic/_al_u1507_o ,\u_logic/_al_u2744_o }),
.c({\u_logic/Tc8iu6 ,\u_logic/_al_u960_o }),
.d({\u_logic/Xdspw6 ,\u_logic/_al_u961_o }),
.f({\u_logic/_al_u1509_o ,\u_logic/_al_u2745_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u150|u_logic/_al_u2065 (
.b({open_n105326,\u_logic/_al_u976_o }),
.c({\u_logic/R3vpw6 ,\u_logic/T1vpw6 }),
.d({\u_logic/_al_u149_o ,\u_logic/_al_u975_o }),
.f({\u_logic/Bi0iu6 ,\u_logic/_al_u2065_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(D*~(~A*~(C*B)))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1110101000000000),
.MODE("LOGIC"))
\u_logic/_al_u1511|u_logic/_al_u910 (
.a({\u_logic/N98iu6_lutinv ,open_n105347}),
.b({\u_logic/_al_u1089_o ,open_n105348}),
.c({\u_logic/_al_u393_o ,\u_logic/Ufopw6 }),
.d({\u_logic/P5vpw6 ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u1511_o ,\u_logic/_al_u910_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1512|u_logic/_al_u651 (
.c({\u_logic/Ydopw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u1512_o ,\u_logic/_al_u651_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*~D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*~D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000000011000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1513|u_logic/_al_u2084 (
.b({\u_logic/_al_u1512_o ,\u_logic/_al_u688_o }),
.c({\u_logic/Frziu6_lutinv ,\u_logic/Hirpw6 }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/_al_u651_o }),
.f({\u_logic/_al_u1513_o ,\u_logic/_al_u2084_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~D*~(C*~B)))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0101010100010000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1514|u_logic/_al_u2677 (
.a({open_n105419,\u_logic/Ae0iu6_lutinv }),
.b({open_n105420,\u_logic/Aujpw6 }),
.c({\u_logic/Yljiu6 ,\u_logic/Vzupw6 }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/Xxupw6 }),
.f({\u_logic/U98iu6 ,\u_logic/_al_u2677_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(~B*~A*~(D*C))"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(~B*~A*~(D*C))"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b0000000100010001),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1515|u_logic/_al_u390 (
.a({\u_logic/_al_u1511_o ,open_n105441}),
.b({\u_logic/_al_u1513_o ,\u_logic/Hirpw6 }),
.c({\u_logic/U98iu6 ,\u_logic/P5vpw6 }),
.d({\u_logic/_al_u147_o ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u1515_o ,\u_logic/_al_u390_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19242)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1518|u_logic/Nr0bx6_reg (
.a({\u_logic/_al_u1517_o ,HWDATA[21]}),
.b({\u_logic/Ozeiu6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Yvgiu6 ,\u_logic/Nr0bx6 }),
.clk(clk_pad),
.d({\u_logic/C1wpw6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/Q8eiu6_lutinv ,open_n105479}),
.q({open_n105483,\u_logic/Nr0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19242)
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(D*C))"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0000010001000100),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u1519|u_logic/_al_u1521 (
.a({open_n105484,\u_logic/_al_u1517_o }),
.b({\u_logic/Yvgiu6 ,\u_logic/Ozeiu6 }),
.c({\u_logic/C1wpw6 ,\u_logic/Yvgiu6 }),
.d({\u_logic/Ozeiu6 ,\u_logic/C1wpw6 }),
.f({\u_logic/L9eiu6_lutinv ,\u_logic/J8eiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(20046)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1520|u_logic/F9gbx6_reg (
.a({\u_logic/Q8eiu6_lutinv ,open_n105505}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Coupw6 ,\u_logic/D7gbx6 }),
.clk(clk_pad),
.d({\u_logic/J7xax6 ,\u_logic/_al_u1523_o }),
.f({\u_logic/_al_u1520_o ,open_n105524}),
.q({open_n105528,\u_logic/F9gbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20046)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1523|u_logic/_al_u1537 (
.a({\u_logic/J8eiu6 ,\u_logic/Q8eiu6_lutinv }),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/F9gbx6 ,\u_logic/L9xax6 }),
.d({\u_logic/L6gpw6 [22],\u_logic/Nbxax6 }),
.f({\u_logic/_al_u1523_o ,\u_logic/_al_u1537_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17829)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1525|u_logic/N0xpw6_reg (
.a({\u_logic/J8eiu6 ,open_n105553}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/J8eiu6 }),
.c({\u_logic/Tjkpw6 ,\u_logic/L6gpw6 [18]}),
.clk(clk_pad),
.d({\u_logic/L6gpw6 [21],\u_logic/_al_u1531_o }),
.f({\u_logic/_al_u1525_o ,open_n105568}),
.q({open_n105572,\u_logic/N0xpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17829)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1529|u_logic/_al_u1533 (
.a({\u_logic/J8eiu6 ,\u_logic/J8eiu6 }),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/Nr7ax6 ,\u_logic/Amupw6 }),
.d({\u_logic/L6gpw6 [19],\u_logic/L6gpw6 [17]}),
.f({\u_logic/_al_u1529_o ,\u_logic/_al_u1533_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(0*D*C*~A))"),
//.LUTF1("(D*C*~(~B*~A))"),
//.LUTG0("(~B*~(1*D*C*~A))"),
//.LUTG1("(D*C*~(~B*~A))"),
.INIT_LUTF0(16'b0011001100110011),
.INIT_LUTF1(16'b1110000000000000),
.INIT_LUTG0(16'b0010001100110011),
.INIT_LUTG1(16'b1110000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u152|u_logic/_al_u1732 (
.a({\u_logic/L0niu6 ,\u_logic/_al_u1730_o }),
.b({\u_logic/Bi0iu6 ,\u_logic/_al_u1731_o }),
.c({\u_logic/_al_u151_o ,\u_logic/_al_u164_o }),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/_al_u976_o }),
.e({open_n105599,\u_logic/T1vpw6 }),
.f({\u_logic/Jzmiu6 ,\u_logic/Cz8iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17231)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1535|u_logic/Z8jpw6_reg (
.a({\u_logic/Q8eiu6_lutinv ,open_n105620}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Ujspw6 ,\u_logic/X6jpw6 }),
.clk(clk_pad),
.d({\u_logic/Wlspw6 ,\u_logic/_al_u1527_o }),
.f({\u_logic/_al_u1535_o ,open_n105635}),
.q({open_n105639,\u_logic/Z8jpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17231)
// ../rtl/topmodule/cortexm0ds_logic.v(18960)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1539|u_logic/Nbxax6_reg (
.a({\u_logic/Q8eiu6_lutinv ,open_n105640}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/J8eiu6 }),
.c({\u_logic/Pdxax6 ,\u_logic/L6gpw6 [15]}),
.clk(clk_pad),
.d({\u_logic/Rfxax6 ,\u_logic/_al_u1537_o }),
.f({\u_logic/_al_u1539_o ,open_n105655}),
.q({open_n105659,\u_logic/Nbxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18960)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u1543|u_logic/_al_u1555 (
.a({\u_logic/J8eiu6 ,\u_logic/J8eiu6 }),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/V0jpw6 ,\u_logic/Rv7ax6 }),
.d({\u_logic/L6gpw6 [12],\u_logic/L6gpw6 [6]}),
.f({\u_logic/_al_u1543_o ,\u_logic/_al_u1555_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u1545|u_logic/_al_u1551 (
.a({\u_logic/J8eiu6 ,\u_logic/J8eiu6 }),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/Pt7ax6 ,\u_logic/Ss0qw6 }),
.d({\u_logic/L6gpw6 [11],\u_logic/L6gpw6 [8]}),
.f({\u_logic/_al_u1545_o ,\u_logic/_al_u1551_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18110)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1547|u_logic/Pt7ax6_reg (
.a({\u_logic/Q8eiu6_lutinv ,open_n105700}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Tptpw6 ,\u_logic/Ofmpw6 }),
.clk(clk_pad),
.d({\u_logic/Vrtpw6 ,\u_logic/_al_u1545_o }),
.f({\u_logic/_al_u1547_o ,open_n105715}),
.q({open_n105719,\u_logic/Pt7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18110)
// ../rtl/topmodule/cortexm0ds_logic.v(17690)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1549|u_logic/Vrtpw6_reg (
.a({\u_logic/J8eiu6 ,open_n105720}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/J8eiu6 }),
.c({\u_logic/Uojbx6 ,\u_logic/L6gpw6 [10]}),
.clk(clk_pad),
.d({\u_logic/L6gpw6 [9],\u_logic/_al_u1547_o }),
.f({\u_logic/_al_u1549_o ,open_n105739}),
.q({open_n105743,\u_logic/Vrtpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17690)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u154|u_logic/_al_u664 (
.c({\u_logic/Ufopw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/P5vpw6 ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u154_o ,\u_logic/Frziu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18111)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1553|u_logic/Rv7ax6_reg (
.a({\u_logic/Q8eiu6_lutinv ,open_n105768}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Thxax6 ,\u_logic/Ox9bx6 }),
.clk(clk_pad),
.d({\u_logic/Ujxax6 ,\u_logic/_al_u1555_o }),
.f({\u_logic/_al_u1553_o ,open_n105783}),
.q({open_n105787,\u_logic/Rv7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18111)
// ../rtl/topmodule/cortexm0ds_logic.v(17599)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1557|u_logic/N8rpw6_reg (
.a({\u_logic/Q8eiu6_lutinv ,open_n105788}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/X5opw6 ,\u_logic/M6rpw6 }),
.clk(clk_pad),
.d({\u_logic/Y7opw6 ,\u_logic/_al_u1567_o }),
.f({\u_logic/_al_u1557_o ,open_n105803}),
.q({open_n105807,\u_logic/N8rpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17599)
// ../rtl/topmodule/cortexm0ds_logic.v(17495)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1559|u_logic/Y7opw6_reg (
.a({\u_logic/J8eiu6 ,open_n105808}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/J8eiu6 }),
.c({\u_logic/Johbx6 ,\u_logic/L6gpw6 [5]}),
.clk(clk_pad),
.d({\u_logic/L6gpw6 [4],\u_logic/_al_u1557_o }),
.f({\u_logic/_al_u1559_o ,open_n105823}),
.q({open_n105827,\u_logic/Y7opw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17495)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~(C*B)*~(0*A)))"),
//.LUT1("(~D*~(~(C*B)*~(1*A)))"),
.INIT_LUT0(16'b0000000011000000),
.INIT_LUT1(16'b0000000011101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u156 (
.a({\u_logic/_al_u149_o ,\u_logic/_al_u149_o }),
.b({\u_logic/_al_u154_o ,\u_logic/_al_u154_o }),
.c({\u_logic/S2ziu6_lutinv ,\u_logic/S2ziu6_lutinv }),
.d({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.mi({open_n105840,\u_logic/T1vpw6 }),
.fx({open_n105845,\u_logic/Wofiu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1561|u_logic/_al_u1565 (
.a({\u_logic/J8eiu6 ,\u_logic/J8eiu6 }),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/P0ibx6 ,\u_logic/Oarpw6 }),
.d({\u_logic/L6gpw6 [3],\u_logic/L6gpw6 [1]}),
.f({\u_logic/_al_u1561_o ,\u_logic/_al_u1565_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17928)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1563|u_logic/Ss0qw6_reg (
.a({\u_logic/Q8eiu6_lutinv ,open_n105872}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Kzabx6 ,\u_logic/Rq0qw6 }),
.clk(clk_pad),
.d({\u_logic/Vlxax6 ,\u_logic/_al_u1551_o }),
.f({\u_logic/_al_u1563_o ,open_n105891}),
.q({open_n105895,\u_logic/Ss0qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17928)
// ../rtl/topmodule/cortexm0ds_logic.v(19903)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1567|u_logic/Kzabx6_reg (
.a({\u_logic/J8eiu6 ,open_n105896}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/J8eiu6 }),
.c({\u_logic/N8rpw6 ,\u_logic/L6gpw6 [2]}),
.clk(clk_pad),
.d({\u_logic/L6gpw6 [0],\u_logic/_al_u1563_o }),
.f({\u_logic/_al_u1567_o ,open_n105915}),
.q({open_n105919,\u_logic/Kzabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19903)
EG_PHY_MSLICE #(
//.LUT0("(~C*A*~(~D*B))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000101000000010),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1571|u_logic/_al_u1151 (
.a({open_n105920,\u_logic/_al_u1150_o }),
.b({open_n105921,\u_logic/_al_u651_o }),
.c({\u_logic/_al_u151_o ,\u_logic/_al_u161_o }),
.d({\u_logic/Uzaiu6 ,\u_logic/Frziu6_lutinv }),
.f({\u_logic/Yi8iu6_lutinv ,\u_logic/Mnxow6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*B*~(~C*~A))"),
//.LUT1("(~C*~A*~(D*~B))"),
.INIT_LUT0(16'b1100100000000000),
.INIT_LUT1(16'b0000010000000101),
.MODE("LOGIC"))
\u_logic/_al_u1572|u_logic/_al_u3725 (
.a({\u_logic/_al_u1157_o ,\u_logic/Owoiu6 }),
.b({\u_logic/Wofiu6_lutinv ,\u_logic/Yljiu6 }),
.c({\u_logic/Rskax6 ,\u_logic/Hirpw6 }),
.d({\u_logic/Ubypw6 ,\u_logic/Vgjpw6 }),
.f({\u_logic/Ph8iu6_lutinv ,\u_logic/_al_u3725_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~A*~(~C*~B))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0101010000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u1573|u_logic/_al_u3673 (
.a({open_n105962,\u_logic/R50iu6 }),
.b({open_n105963,\u_logic/F3aiu6 }),
.c({\u_logic/Ph8iu6_lutinv ,\u_logic/Pt2ju6 }),
.d({\u_logic/Yi8iu6_lutinv ,\u_logic/Fpnpw6 }),
.f({\u_logic/Ug8iu6_lutinv ,\u_logic/_al_u3673_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(~D*~A*~(C*B))"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000000010101),
.MODE("LOGIC"))
\u_logic/_al_u1575|u_logic/_al_u965 (
.a({\u_logic/_al_u1574_o ,\u_logic/_al_u154_o }),
.b({\u_logic/_al_u197_o ,\u_logic/_al_u963_o }),
.c({\u_logic/Ldoiu6_lutinv ,\u_logic/S7mpw6 }),
.d({\u_logic/_al_u1103_o ,\u_logic/Wfspw6 }),
.f({\u_logic/_al_u1575_o ,\u_logic/_al_u965_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(D*~B*A))"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b1101000011110000),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u1577|u_logic/_al_u1576 (
.a({open_n106004,\u_logic/Vo3ju6_lutinv }),
.b({\u_logic/T1vpw6 ,\u_logic/_al_u690_o }),
.c({\u_logic/Xxupw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/Vo3ju6_lutinv ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u1577_o ,\u_logic/_al_u1576_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(D*~(~C*~B*A))"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1111110100000000),
.MODE("LOGIC"))
\u_logic/_al_u1578|u_logic/_al_u1149 (
.a({\u_logic/_al_u1575_o ,open_n106025}),
.b({\u_logic/_al_u1576_o ,open_n106026}),
.c({\u_logic/_al_u1577_o ,\u_logic/Yvjpw6 }),
.d({\u_logic/Ydopw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u1578_o ,\u_logic/_al_u1149_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b1111001111011101),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b1111001111011101),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1579|u_logic/_al_u2298 (
.a({open_n106047,\u_logic/Fq8iu6 }),
.b({\u_logic/_al_u413_o ,\u_logic/P5vpw6 }),
.c({\u_logic/_al_u1095_o ,\u_logic/R3vpw6 }),
.d({\u_logic/_al_u1578_o ,\u_logic/Ufopw6 }),
.f({\u_logic/Fhoiu6 ,\u_logic/_al_u2298_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B*~(~C*~A)))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(D*~(B*~(~C*~A)))"),
//.LUTG1("(C*~(B*D))"),
.INIT_LUTF0(16'b0011011100000000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0011011100000000),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1580|u_logic/_al_u3722 (
.a({open_n106072,\u_logic/_al_u3720_o }),
.b({\u_logic/Fhoiu6 ,\u_logic/_al_u3721_o }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/T1vpw6 }),
.d({\u_logic/Ug8iu6_lutinv ,\u_logic/Vgjpw6 }),
.f({\u_logic/Y5liu6 ,\u_logic/By4ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~A*~(C*B)))"),
//.LUTF1("(~C*A*~(~D*B))"),
//.LUTG0("(D*~(~A*~(C*B)))"),
//.LUTG1("(~C*A*~(~D*B))"),
.INIT_LUTF0(16'b1110101000000000),
.INIT_LUTF1(16'b0000101000000010),
.INIT_LUTG0(16'b1110101000000000),
.INIT_LUTG1(16'b0000101000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1582|u_logic/_al_u981 (
.a({\u_logic/_al_u1581_o ,\u_logic/Ct0iu6 }),
.b({\u_logic/Vo3ju6_lutinv ,\u_logic/Iuaow6_lutinv }),
.c({\u_logic/_al_u124_o ,\u_logic/S2ziu6_lutinv }),
.d({\u_logic/_al_u690_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1582_o ,\u_logic/_al_u981_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1585 (
.c({open_n106125,\u_logic/D31ju6 }),
.d({open_n106128,\u_logic/_al_u1583_o }),
.f({open_n106146,\u_logic/Nz2ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~A*~(~D*B)))"),
//.LUTF1("(~B*~A*~(D*C))"),
//.LUTG0("(C*~(~A*~(~D*B)))"),
//.LUTG1("(~B*~A*~(D*C))"),
.INIT_LUTF0(16'b1010000011100000),
.INIT_LUTF1(16'b0000000100010001),
.INIT_LUTG0(16'b1010000011100000),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1587|u_logic/_al_u1590 (
.a({\u_logic/_al_u1582_o ,\u_logic/_al_u165_o }),
.b({\u_logic/Nz2ju6 ,\u_logic/Sq3ju6 }),
.c({\u_logic/_al_u413_o ,\u_logic/Ydopw6 }),
.d({\u_logic/_al_u1586_o ,\u_logic/Yvjpw6 }),
.f({\u_logic/Im2ju6 ,\u_logic/_al_u1590_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~D)"),
//.LUTF1("(A*~(D*~(C*~B)))"),
//.LUTG0("(~C*B*~D)"),
//.LUTG1("(A*~(D*~(C*~B)))"),
.INIT_LUTF0(16'b0000000000001100),
.INIT_LUTF1(16'b0010000010101010),
.INIT_LUTG0(16'b0000000000001100),
.INIT_LUTG1(16'b0010000010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1589|u_logic/_al_u974 (
.a({\u_logic/_al_u1588_o ,open_n106176}),
.b({\u_logic/_al_u1089_o ,\u_logic/Ydopw6 }),
.c({\u_logic/Ufopw6 ,\u_logic/Yvjpw6 }),
.d({\u_logic/Vzupw6 ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u1589_o ,\u_logic/_al_u974_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("~(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u158|u_logic/_al_u12 (
.c({\u_logic/SLEEPHOLDACKn ,\u_logic/P5vpw6 }),
.d({\u_logic/Bciax6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/Ae0iu6_lutinv ,\u_logic/n3724 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(D*C))"),
//.LUTF1("(~C*A*~(D*~B))"),
//.LUTG0("(~B*A*~(D*C))"),
//.LUTG1("(~C*A*~(D*~B))"),
.INIT_LUTF0(16'b0000001000100010),
.INIT_LUTF1(16'b0000100000001010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1591|u_logic/_al_u3966 (
.a({\u_logic/Im2ju6 ,\u_logic/Im2ju6 }),
.b({\u_logic/_al_u1589_o ,\u_logic/_al_u3965_o }),
.c({\u_logic/_al_u1590_o ,\u_logic/_al_u974_o }),
.d({\u_logic/Nkaju6_lutinv ,\u_logic/Ldoiu6_lutinv }),
.f({\u_logic/Ng8iu6 ,\u_logic/P9niu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*~A)"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(~D*C*~B*~A)"),
//.LUTG1("(C*~(B*D))"),
.INIT_LUTF0(16'b0000000000010000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0000000000010000),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1592|u_logic/_al_u4615 (
.a({open_n106253,\u_logic/Tucow6_lutinv }),
.b({\u_logic/Ng8iu6 ,\u_logic/P5vpw6 }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/S4kbx6 }),
.d({\u_logic/Ug8iu6_lutinv ,\u_logic/Xxupw6 }),
.f({\u_logic/Zf8iu6 ,\u_logic/G8how6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(~D*~C))"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b1000100010000000),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u1595|u_logic/_al_u1979 (
.a({open_n106278,\u_logic/_al_u1232_o }),
.b({\u_logic/_al_u1498_o ,\u_logic/Ia8iu6_lutinv }),
.c({\u_logic/_al_u1232_o ,\u_logic/Sojax6 }),
.d({\u_logic/_al_u1594_o ,\u_logic/U9ypw6 }),
.f({\u_logic/Ljiiu6 ,\u_logic/_al_u1979_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17574)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C@D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u15|u_logic/Gnqpw6_reg (
.c({\u_logic/Xxqpw6 ,\u_logic/T82qw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Qsfax6 ,\u_logic/Cl1iu6 }),
.mi({open_n106313,\u_logic/Krlpw6 }),
.f({\u_logic/Iqzhu6_lutinv ,\u_logic/_al_u1026_o }),
.q({open_n106318,\u_logic/Gnqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17574)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(A*~(~C*~(0*D))))"),
//.LUTF1("(~(~(~0*~C)*~B)*~(D*A))"),
//.LUTG0("(~B*~(A*~(~C*~(1*D))))"),
//.LUTG1("(~(~(~1*~C)*~B)*~(D*A))"),
.INIT_LUTF0(16'b0001001100010011),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b0001000100010011),
.INIT_LUTG1(16'b0100010011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1601|u_logic/_al_u2748 (
.a({\u_logic/_al_u1486_o ,\u_logic/_al_u391_o }),
.b({\u_logic/Ae0iu6_lutinv ,\u_logic/_al_u1600_o }),
.c({\u_logic/_al_u391_o ,\u_logic/Azziu6_lutinv }),
.d({\u_logic/Aujiu6 ,\u_logic/Vzupw6 }),
.e({\u_logic/_al_u1600_o ,\u_logic/Wkipw6 }),
.f({\u_logic/_al_u1601_o ,\u_logic/_al_u2748_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1602|u_logic/_al_u1596 (
.c({\u_logic/Dxvpw6 ,\u_logic/Ydopw6 }),
.d({\u_logic/Jiiiu6 ,\u_logic/Skjax6 }),
.f({\u_logic/Dmiiu6 ,\u_logic/_al_u1596_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*C*~(D)*0+B*C*~(D)*0+~(B)*~(C)*D*0+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*C*~(D)*1+B*C*~(D)*1+~(B)*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUT0(16'b0000001000000010),
.INIT_LUT1(16'b0010001010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1605 (
.a({\u_logic/_al_u1601_o ,\u_logic/_al_u1601_o }),
.b({\u_logic/_al_u1485_o ,\u_logic/_al_u1485_o }),
.c({\u_logic/_al_u1603_o ,\u_logic/_al_u1603_o }),
.d({\u_logic/F6ziu6 ,\u_logic/F6ziu6 }),
.mi({open_n106381,\u_logic/Skjax6 }),
.fx({open_n106386,\u_logic/_al_u1605_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1606|u_logic/_al_u398 (
.c({\u_logic/_al_u397_o ,\u_logic/Ssjax6 }),
.d({\u_logic/_al_u1498_o ,\u_logic/_al_u397_o }),
.f({\u_logic/_al_u1606_o ,\u_logic/A95iu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~C*B*A))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b1111011100000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1612|u_logic/_al_u1978 (
.a({open_n106417,\u_logic/Epjiu6 }),
.b({open_n106418,\u_logic/_al_u1976_o }),
.c({\u_logic/Ydopw6 ,\u_logic/_al_u1977_o }),
.d({\u_logic/Vygax6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u1612_o ,\u_logic/_al_u1978_o }));
EG_PHY_MSLICE #(
//.LUT0("~(A*~((~D*~B))*~(C)+A*(~D*~B)*~(C)+~(A)*(~D*~B)*C+A*(~D*~B)*C)"),
//.LUT1("(~B*A*~(~D*C))"),
.INIT_LUT0(16'b1111010111000101),
.INIT_LUT1(16'b0010001000000010),
.MODE("LOGIC"))
\u_logic/_al_u1614|u_logic/_al_u2439 (
.a({\u_logic/_al_u1611_o ,\u_logic/_al_u910_o }),
.b({\u_logic/_al_u1613_o ,\u_logic/Hirpw6 }),
.c({\u_logic/_al_u688_o ,\u_logic/R3vpw6 }),
.d({\u_logic/_al_u976_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1614_o ,\u_logic/_al_u2439_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+~(A)*C*D*0))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+~(A)*C*D*1))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0100110000001100),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0100110001000100),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1615|u_logic/_al_u2379 (
.a({open_n106459,\u_logic/N4kax6 }),
.b({open_n106460,\u_logic/P0kax6 }),
.c({\u_logic/Skjax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/Ssjax6 }),
.e({open_n106463,\u_logic/W4jax6 }),
.f({\u_logic/Y40ju6 ,\u_logic/_al_u2379_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D*A)))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~B*~(C*~(D*A)))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0010001100000011),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0010001100000011),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1616|u_logic/_al_u2438 (
.a({\u_logic/F6ziu6 ,\u_logic/_al_u1228_o }),
.b({\u_logic/_al_u397_o ,\u_logic/Dxvpw6 }),
.c({\u_logic/Y40ju6 ,\u_logic/P14qw6 }),
.d({\u_logic/U9ypw6 ,\u_logic/Skjax6 }),
.f({\u_logic/Dd7ow6 ,\u_logic/Ea7ow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~C*D))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1100000011001100),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1617|u_logic/_al_u3976 (
.b({open_n106510,\u_logic/P0kax6 }),
.c({\u_logic/P0kax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Gr2qw6 ,\u_logic/Yo1ju6 }),
.f({\u_logic/_al_u1617_o ,\u_logic/_al_u3976_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*~A)"),
//.LUTF1("(A*~(~D*C*B))"),
//.LUTG0("(D*C*B*~A)"),
//.LUTG1("(A*~(~D*C*B))"),
.INIT_LUTF0(16'b0100000000000000),
.INIT_LUTF1(16'b1010101000101010),
.INIT_LUTG0(16'b0100000000000000),
.INIT_LUTG1(16'b1010101000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1618|u_logic/_al_u2442 (
.a({\u_logic/_al_u1614_o ,\u_logic/_al_u1676_o }),
.b({\u_logic/Dd7ow6 ,\u_logic/_al_u641_o }),
.c({\u_logic/_al_u1617_o ,\u_logic/Yljiu6 }),
.d({\u_logic/Vygax6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u1618_o ,\u_logic/_al_u2442_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~D*~C)*~(B*A))"),
//.LUT1("(A*~(D*~C*B))"),
.INIT_LUT0(16'b0111011101110000),
.INIT_LUT1(16'b1010001010101010),
.MODE("LOGIC"))
\u_logic/_al_u1620|u_logic/_al_u1619 (
.a({\u_logic/_al_u1618_o ,\u_logic/_al_u160_o }),
.b({\u_logic/_al_u960_o ,\u_logic/Hirpw6 }),
.c({\u_logic/_al_u1619_o ,\u_logic/Xxupw6 }),
.d({\u_logic/Yljiu6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u1620_o ,\u_logic/_al_u1619_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~B*~A*~(~0*C)))"),
//.LUT1("(~D*~(~B*~A*~(~1*C)))"),
.INIT_LUT0(16'b0000000011111110),
.INIT_LUT1(16'b0000000011101110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1623 (
.a({\u_logic/_al_u1621_o ,\u_logic/_al_u1621_o }),
.b({\u_logic/_al_u1622_o ,\u_logic/_al_u1622_o }),
.c({\u_logic/P5vpw6 ,\u_logic/P5vpw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.mi({open_n106587,\u_logic/Vygax6 }),
.fx({open_n106592,\u_logic/_al_u1623_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUTF1("~(C@(D*~(B*~A)))"),
//.LUTG0("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
//.LUTG1("~(C@(D*~(B*~A)))"),
.INIT_LUTF0(16'b1010000011110000),
.INIT_LUTF1(16'b1011010000001111),
.INIT_LUTG0(16'b1010000000110000),
.INIT_LUTG1(16'b1011010000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1626|u_logic/_al_u3757 (
.a({\u_logic/Ka8ju6 ,\u_logic/Js7ju6_lutinv }),
.b({\u_logic/_al_u1442_o ,\u_logic/W4epw6 }),
.c({\u_logic/_al_u1446_o ,\u_logic/_al_u3756_o }),
.d({\u_logic/_al_u1625_o ,\u_logic/_al_u931_o }),
.e({open_n106597,\u_logic/Ys4ju6 }),
.f({\u_logic/Qbfpw6 [10],\u_logic/_al_u3757_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*~(C*D))"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*~(C*D))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000110011001100),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1628|u_logic/_al_u1448 (
.b({\u_logic/_al_u1627_o ,open_n106620}),
.c({\u_logic/Umkax6 ,\u_logic/Rkkax6 }),
.d({\u_logic/Kc6ju6 ,\u_logic/Zf7ju6 }),
.f({\u_logic/_al_u1628_o ,\u_logic/_al_u1448_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("~(D@(B*~(C*~A)))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("~(D@(B*~(C*~A)))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1000110001110011),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1000110001110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1629|u_logic/_al_u519 (
.a({\u_logic/Cz7ju6 ,open_n106645}),
.b({\u_logic/_al_u1628_o ,open_n106646}),
.c({\u_logic/_al_u1442_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u1446_o ,\u_logic/C10iu6 }),
.f({\u_logic/W4epw6 ,\u_logic/Tgfpw6 [18]}));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~D*C*B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0101010100010101),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1630|u_logic/_al_u2461 (
.a({open_n106671,\u_logic/_al_u389_o }),
.b({open_n106672,\u_logic/Pu1ju6_lutinv }),
.c({\u_logic/_al_u1583_o ,\u_logic/Owoiu6 }),
.d({\u_logic/Frziu6_lutinv ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1630_o ,\u_logic/_al_u2461_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17905)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~B*D)"),
//.LUTF1("~(D@(~(0*~B)*~(C*~A)))"),
//.LUTG0("~(C*~B*D)"),
//.LUTG1("~(D@(~(1*~B)*~(C*~A)))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100111111111111),
.INIT_LUTF1(16'b1010111101010000),
.INIT_LUTG0(16'b1100111111111111),
.INIT_LUTG1(16'b1000110001110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1632|u_logic/Yizpw6_reg (
.a({\u_logic/_al_u1138_o ,open_n106693}),
.b({\u_logic/_al_u1631_o ,\u_logic/_al_u4693_o }),
.c({\u_logic/_al_u1442_o ,\u_logic/Bbliu6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/_al_u1446_o ,\u_logic/_al_u4719_o }),
.e({\u_logic/Sqkax6 ,open_n106694}),
.f({\u_logic/Qbfpw6 [0],\u_logic/D39iu6 }),
.q({open_n106713,\u_logic/vis_msp_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(17905)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B)*~(~0*A))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~C*~(~D*B)*~(~1*A))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1635|u_logic/_al_u3902 (
.a({open_n106714,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u1450_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/R9mpw6 ,\u_logic/_al_u3901_o }),
.d({\u_logic/_al_u1448_o ,\u_logic/B40iu6 }),
.e({open_n106717,\u_logic/Wb0iu6 }),
.f({\u_logic/_al_u1635_o ,\u_logic/_al_u3902_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17708)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("~(D@(C*~(B*~A)))"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("~(D@(C*~(B*~A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b1011000001001111),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b1011000001001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1636|u_logic/Yhupw6_reg (
.a({\u_logic/_al_u1274_o ,open_n106738}),
.b({\u_logic/_al_u1442_o ,\u_logic/_al_u4498_o }),
.c({\u_logic/_al_u1635_o ,\u_logic/Wamiu6 }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u1446_o ,\u_logic/_al_u4471_o }),
.f({\u_logic/J1epw6 ,\u_logic/Bamiu6 }),
.q({open_n106759,\u_logic/vis_psp_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(17708)
// ../rtl/topmodule/cortexm0ds_logic.v(18759)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("~(D@(C*~(B*~A)))"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("~(D@(C*~(B*~A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b1011000001001111),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b1011000001001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1638|u_logic/Whmax6_reg (
.a({\u_logic/_al_u1283_o ,open_n106760}),
.b({\u_logic/_al_u1442_o ,\u_logic/_al_u4486_o }),
.c({\u_logic/_al_u1637_o ,\u_logic/Vdmiu6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/_al_u1446_o ,\u_logic/_al_u4471_o }),
.f({\u_logic/Q1epw6 ,\u_logic/Admiu6 }),
.q({open_n106781,\u_logic/vis_r10_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(18759)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~0*B)*~(~D*A))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~C*~(~1*B)*~(~D*A))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0000001100000001),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000111100000101),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1639|u_logic/_al_u3689 (
.a({open_n106782,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u1450_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/U1kpw6 ,\u_logic/_al_u3688_o }),
.d({\u_logic/_al_u1448_o ,\u_logic/Dc0iu6 }),
.e({open_n106785,\u_logic/Y50iu6 }),
.f({\u_logic/_al_u1639_o ,\u_logic/Nweow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUT1("~(C@(B*D))"),
.INIT_LUT0(16'b0001000100000101),
.INIT_LUT1(16'b1100001100001111),
.MODE("LOGIC"))
\u_logic/_al_u1643|u_logic/_al_u3668 (
.a({open_n106806,\u_logic/_al_u3661_o }),
.b({\u_logic/Gv6ju6 ,\u_logic/_al_u3665_o }),
.c({\u_logic/_al_u1446_o ,\u_logic/Csnow6 }),
.d({\u_logic/Zu6ju6 ,\u_logic/Hv3ju6_lutinv }),
.f({\u_logic/S2epw6 ,\u_logic/_al_u3668_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B)*~(~0*A))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~C*~(~D*B)*~(~1*A))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1644|u_logic/_al_u3890 (
.a({open_n106827,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u1450_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/Ubypw6 ,\u_logic/_al_u3889_o }),
.d({\u_logic/_al_u1448_o ,\u_logic/U30iu6 }),
.e({open_n106830,\u_logic/Pb0iu6 }),
.f({\u_logic/_al_u1644_o ,\u_logic/_al_u3890_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B)*~(~0*A))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~C*~(~D*B)*~(~1*A))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1646|u_logic/_al_u3911 (
.a({open_n106851,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u1450_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/Umkax6 ,\u_logic/_al_u3910_o }),
.d({\u_logic/_al_u1448_o ,\u_logic/W40iu6 }),
.e({open_n106854,\u_logic/I40iu6 }),
.f({\u_logic/_al_u1646_o ,\u_logic/_al_u3911_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1648|u_logic/_al_u3675 (
.c({\u_logic/V6jax6 ,\u_logic/_al_u3663_o }),
.d({\u_logic/_al_u1450_o ,\u_logic/_al_u3653_o }),
.f({\u_logic/_al_u1648_o ,\u_logic/_al_u3675_o }));
EG_PHY_MSLICE #(
//.LUT0("~(C@(~0*~D*~(B*~A)))"),
//.LUT1("~(C@(~1*~D*~(B*~A)))"),
.INIT_LUT0(16'b0000111110110100),
.INIT_LUT1(16'b0000111100001111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1649 (
.a({\u_logic/_al_u1315_o ,\u_logic/_al_u1315_o }),
.b({\u_logic/_al_u1442_o ,\u_logic/_al_u1442_o }),
.c({\u_logic/_al_u1446_o ,\u_logic/_al_u1446_o }),
.d({\u_logic/_al_u1448_o ,\u_logic/_al_u1448_o }),
.mi({open_n106915,\u_logic/_al_u1648_o }),
.fx({open_n106920,\u_logic/N3epw6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B)*~(~0*A))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~C*~(~D*B)*~(~1*A))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1650|u_logic/_al_u3665 (
.a({open_n106923,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u1450_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/Iekax6 ,\u_logic/_al_u3664_o }),
.d({\u_logic/_al_u1448_o ,\u_logic/Dc0iu6 }),
.e({open_n106926,\u_logic/F60iu6 }),
.f({\u_logic/_al_u1650_o ,\u_logic/_al_u3665_o }));
EG_PHY_MSLICE #(
//.LUT0("~(D@(C*~(B*~A)))"),
//.LUT1("~(D@(C*~(B*~A)))"),
.INIT_LUT0(16'b1011000001001111),
.INIT_LUT1(16'b1011000001001111),
.MODE("LOGIC"))
\u_logic/_al_u1651|u_logic/_al_u1655 (
.a({\u_logic/_al_u1322_o ,\u_logic/_al_u1334_o }),
.b({\u_logic/_al_u1442_o ,\u_logic/_al_u1442_o }),
.c({\u_logic/_al_u1650_o ,\u_logic/_al_u1654_o }),
.d({\u_logic/_al_u1446_o ,\u_logic/_al_u1446_o }),
.f({\u_logic/U3epw6 ,\u_logic/I4epw6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("~(C@D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("~(C@D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000000001111),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1653|u_logic/_al_u3857 (
.b({open_n106969,\u_logic/Cg5ju6_lutinv }),
.c({\u_logic/_al_u1446_o ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/_al_u1652_o ,\u_logic/Qbfpw6 [26]}),
.f({\u_logic/B4epw6 ,\u_logic/X07ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18873)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(~D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1654|u_logic/Kssax6_reg (
.a({open_n106994,\u_logic/_al_u3651_o }),
.b({\u_logic/_al_u1450_o ,\u_logic/Y1qow6 }),
.c({\u_logic/Oikax6 ,\u_logic/Dc0iu6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u1448_o ,\u_logic/Y50iu6 }),
.mi({open_n106998,\u_logic/Tx8iu6 }),
.f({\u_logic/_al_u1654_o ,\u_logic/_al_u3677_o }),
.q({open_n107014,\u_logic/vis_r12_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18873)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~B*(D@C)))"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D)"),
.INIT_LUT0(16'b1010100010001010),
.INIT_LUT1(16'b0001111101010011),
.MODE("LOGIC"))
\u_logic/_al_u1656|u_logic/_al_u3687 (
.a({\u_logic/Zf7ju6 ,\u_logic/Queow6 }),
.b({\u_logic/_al_u1450_o ,\u_logic/Hv3ju6_lutinv }),
.c({\u_logic/Rkkax6 ,\u_logic/_al_u3662_o }),
.d({\u_logic/Sojax6 ,\u_logic/Df3ju6 }),
.f({\u_logic/_al_u1656_o ,\u_logic/_al_u3687_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUT1("~(C@(D*~(B*~A)))"),
.INIT_LUT0(16'b0000010010001100),
.INIT_LUT1(16'b1011010000001111),
.MODE("LOGIC"))
\u_logic/_al_u1657|u_logic/_al_u3829 (
.a({\u_logic/_al_u1341_o ,\u_logic/Qbfpw6 [30]}),
.b({\u_logic/_al_u1442_o ,\u_logic/_al_u874_o }),
.c({\u_logic/_al_u1446_o ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/_al_u1656_o ,\u_logic/Wc5ju6_lutinv }),
.f({\u_logic/P4epw6 ,\u_logic/_al_u3829_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~B*~(A*~(D*C))))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D)"),
//.LUTG0("(1*~(~B*~(A*~(D*C))))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0001010111110011),
.INIT_LUTG0(16'b1100111011101110),
.INIT_LUTG1(16'b0001010111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1658|u_logic/_al_u4626 (
.a({\u_logic/Zf7ju6 ,\u_logic/R3how6_lutinv }),
.b({\u_logic/_al_u1450_o ,\u_logic/_al_u3712_o }),
.c({\u_logic/P14qw6 ,\u_logic/_al_u3915_o }),
.d({\u_logic/Rkkax6 ,\u_logic/F93ju6_lutinv }),
.e({open_n107057,\u_logic/Tucow6_lutinv }),
.f({\u_logic/_al_u1658_o ,\u_logic/n3708 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17907)
EG_PHY_LSLICE #(
//.LUTF0("~(C*D)"),
//.LUTF1("~(C@(D*~(B*~A)))"),
//.LUTG0("~(C*D)"),
//.LUTG1("~(C@(D*~(B*~A)))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b1011010000001111),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b1011010000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1659|u_logic/Ymzpw6_reg (
.a({\u_logic/_al_u1348_o ,open_n107078}),
.b({\u_logic/_al_u1442_o ,open_n107079}),
.c({\u_logic/_al_u1446_o ,\u_logic/Kkkiu6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/_al_u1658_o ,\u_logic/_al_u4639_o }),
.f({\u_logic/Qbfpw6 [23],\u_logic/Ef8iu6 }),
.q({open_n107100,\u_logic/vis_msp_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(17907)
EG_PHY_MSLICE #(
//.LUT0("(~(~0*D*C)*~(B*~A))"),
//.LUT1("(~(~1*D*C)*~(B*~A))"),
.INIT_LUT0(16'b0000101110111011),
.INIT_LUT1(16'b1011101110111011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1663 (
.a({\u_logic/_al_u1245_o ,\u_logic/_al_u1245_o }),
.b({\u_logic/W0piu6_lutinv ,\u_logic/W0piu6_lutinv }),
.c({\u_logic/_al_u651_o ,\u_logic/_al_u651_o }),
.d({\u_logic/_al_u1586_o ,\u_logic/_al_u1586_o }),
.mi({open_n107113,\u_logic/Ydopw6 }),
.fx({open_n107118,\u_logic/_al_u1663_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1665|u_logic/_al_u1677 (
.b({\u_logic/Sq3ju6 ,\u_logic/_al_u393_o }),
.c({\u_logic/_al_u1512_o ,\u_logic/Yljiu6 }),
.d({\u_logic/_al_u124_o ,\u_logic/S2ziu6_lutinv }),
.f({\u_logic/_al_u1665_o ,\u_logic/_al_u1677_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~(~0*~(~B*A)))"),
//.LUTF1("(~D*~C*A*~(~0*B))"),
//.LUTG0("(D*C*~(~1*~(~B*A)))"),
//.LUTG1("(~D*~C*A*~(~1*B))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1666|u_logic/_al_u2333 (
.a({\u_logic/D0jiu6 ,\u_logic/_al_u2311_o }),
.b({\u_logic/_al_u1107_o ,\u_logic/_al_u2321_o }),
.c({\u_logic/_al_u1665_o ,\u_logic/_al_u2328_o }),
.d({\u_logic/_al_u644_o ,\u_logic/_al_u2332_o }),
.e({\u_logic/Wkipw6 ,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/_al_u1666_o ,\u_logic/_al_u2333_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C)*~(~0*B))"),
//.LUT1("(~A*~(D*C)*~(~1*B))"),
.INIT_LUT0(16'b0000000100010001),
.INIT_LUT1(16'b0000010101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1668 (
.a({\u_logic/_al_u1667_o ,\u_logic/_al_u1667_o }),
.b({\u_logic/Wkjiu6 ,\u_logic/Wkjiu6 }),
.c({\u_logic/Hviiu6 ,\u_logic/Hviiu6 }),
.d({\u_logic/_al_u1228_o ,\u_logic/_al_u1228_o }),
.mi({open_n107181,\u_logic/Sojax6 }),
.fx({open_n107186,\u_logic/_al_u1668_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*D*C*B))"),
//.LUT1("(A*~(1*D*C*B))"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b0010101010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1670 (
.a({\u_logic/_al_u1669_o ,\u_logic/_al_u1669_o }),
.b({\u_logic/_al_u1235_o ,\u_logic/_al_u1235_o }),
.c({\u_logic/Qxoiu6 ,\u_logic/Qxoiu6 }),
.d({\u_logic/N4kax6 ,\u_logic/N4kax6 }),
.mi({open_n107201,\u_logic/P0kax6 }),
.fx({open_n107206,\u_logic/_al_u1670_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~(0*C)*~(D*~A)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*~(~(1*C)*~(D*~A)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0100010000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100010011000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1672|u_logic/_al_u3979 (
.a({open_n107209,\u_logic/_al_u960_o }),
.b({open_n107210,\u_logic/U98iu6 }),
.c({\u_logic/Daiax6 ,\u_logic/Pthiu6 }),
.d({\u_logic/_al_u1076_o ,\u_logic/_al_u976_o }),
.e({open_n107213,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u1672_o ,\u_logic/_al_u3979_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~C*B*~A))"),
//.LUT1("(~D*~(~A*~(C*B)))"),
.INIT_LUT0(16'b0000000011111011),
.INIT_LUT1(16'b0000000011101010),
.MODE("LOGIC"))
\u_logic/_al_u1673|u_logic/_al_u2312 (
.a({\u_logic/_al_u1672_o ,\u_logic/_al_u960_o }),
.b({\u_logic/_al_u641_o ,\u_logic/_al_u1583_o }),
.c({\u_logic/Pu1ju6_lutinv ,\u_logic/Xxupw6 }),
.d({\u_logic/Vzupw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1673_o ,\u_logic/_al_u2312_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*~B*~A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*~B*~A)"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1678|u_logic/_al_u2899 (
.a({\u_logic/_al_u1101_o ,\u_logic/A25iu6 }),
.b({\u_logic/Fnnpw6 ,\u_logic/R05iu6 }),
.c({\u_logic/G0zax6 ,\u_logic/Skjax6 }),
.d({\u_logic/vis_pc_o[2] ,\u_logic/W0jax6 }),
.f({\u_logic/Mmjiu6_lutinv ,\u_logic/Wkciu6 }));
EG_PHY_MSLICE #(
//.LUT0("~((~0*B)*~((C*A))*~(D)+(~0*B)*(C*A)*~(D)+~((~0*B))*(C*A)*D+(~0*B)*(C*A)*D)"),
//.LUT1("~((~1*B)*~((C*A))*~(D)+(~1*B)*(C*A)*~(D)+~((~1*B))*(C*A)*D+(~1*B)*(C*A)*D)"),
.INIT_LUT0(16'b0101111100110011),
.INIT_LUT1(16'b0101111111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1680 (
.a({\u_logic/_al_u151_o ,\u_logic/_al_u151_o }),
.b({\u_logic/_al_u688_o ,\u_logic/_al_u688_o }),
.c({\u_logic/Yljiu6 ,\u_logic/Yljiu6 }),
.d({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.mi({open_n107290,\u_logic/Yvjpw6 }),
.fx({open_n107295,\u_logic/_al_u1680_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*~B))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000000011001111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1681|u_logic/_al_u2685 (
.b({open_n107300,\u_logic/Ufopw6 }),
.c({\u_logic/Aujpw6 ,\u_logic/Yvjpw6 }),
.d({\u_logic/_al_u910_o ,\u_logic/_al_u672_o }),
.f({\u_logic/_al_u1681_o ,\u_logic/_al_u2685_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*~C))"),
//.LUT1("(C*B*~(D*A))"),
.INIT_LUT0(16'b0010000000100010),
.INIT_LUT1(16'b0100000011000000),
.MODE("LOGIC"))
\u_logic/_al_u1682|u_logic/_al_u2680 (
.a({\u_logic/_al_u1676_o ,\u_logic/_al_u2676_o }),
.b({\u_logic/_al_u1679_o ,\u_logic/_al_u2678_o }),
.c({\u_logic/_al_u1680_o ,\u_logic/_al_u2679_o }),
.d({\u_logic/_al_u1681_o ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u1682_o ,\u_logic/_al_u2680_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u1684|u_logic/_al_u147 (
.c({\u_logic/Xxupw6 ,\u_logic/Xxupw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/Jf6ju6 ,\u_logic/_al_u147_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~(~B*~A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(D*C*~(~B*~A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b1110000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1110000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1685|u_logic/_al_u1724 (
.a({\u_logic/_al_u124_o ,\u_logic/_al_u651_o }),
.b({\u_logic/Pthiu6 ,\u_logic/Edapw6_lutinv }),
.c({\u_logic/Jf6ju6 ,\u_logic/Xxupw6 }),
.d({\u_logic/P5vpw6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u1685_o ,\u_logic/_al_u1724_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(A*~(C*~(D*~B)))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0010101000001010),
.MODE("LOGIC"))
\u_logic/_al_u1686|u_logic/_al_u975 (
.a({\u_logic/_al_u1685_o ,open_n107389}),
.b({\u_logic/_al_u1089_o ,open_n107390}),
.c({\u_logic/Cc2ju6_lutinv ,\u_logic/Xxupw6 }),
.d({\u_logic/Aujpw6 ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u1686_o ,\u_logic/_al_u975_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~B*~D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000000110000),
.MODE("LOGIC"))
\u_logic/_al_u1688|u_logic/_al_u666 (
.b({\u_logic/Ydopw6 ,open_n107413}),
.c({\u_logic/Yvjpw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u1687_o ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1688_o ,\u_logic/Cc2ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~A*~(D*~(~C*B)))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~A*~(D*~(~C*B)))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000010001010101),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000010001010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1689|u_logic/_al_u3658 (
.a({\u_logic/Kc6ju6 ,open_n107434}),
.b({\u_logic/_al_u1686_o ,open_n107435}),
.c({\u_logic/_al_u1688_o ,\u_logic/Hirpw6 }),
.d({\u_logic/Vgjpw6 ,\u_logic/_al_u651_o }),
.f({\u_logic/Qv4ju6_lutinv ,\u_logic/Df3ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1690|u_logic/_al_u1627 (
.a({open_n107460,\u_logic/Zf7ju6 }),
.b({open_n107461,\u_logic/_al_u1450_o }),
.c({\u_logic/Jgxpw6 ,\u_logic/Iekax6 }),
.d({\u_logic/_al_u1450_o ,\u_logic/N4kax6 }),
.f({\u_logic/_al_u1690_o ,\u_logic/_al_u1627_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(D*~B)*~(0*~A))"),
//.LUT1("(~C*~(D*~B)*~(1*~A))"),
.INIT_LUT0(16'b0000110000001111),
.INIT_LUT1(16'b0000100000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1691 (
.a({\u_logic/Qv4ju6_lutinv ,\u_logic/Qv4ju6_lutinv }),
.b({\u_logic/_al_u1474_o ,\u_logic/_al_u1474_o }),
.c({\u_logic/_al_u1690_o ,\u_logic/_al_u1690_o }),
.d({\u_logic/Fkrpw6 ,\u_logic/Fkrpw6 }),
.mi({open_n107498,\u_logic/U1kpw6 }),
.fx({open_n107503,\u_logic/_al_u1691_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B)*~(~0*A))"),
//.LUTF1("~(D@(B*~(C*~A)))"),
//.LUTG0("(~C*~(~D*B)*~(~1*A))"),
//.LUTG1("~(D@(B*~(C*~A)))"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b1000110001110011),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b1000110001110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1692|u_logic/_al_u3898 (
.a({\u_logic/_al_u1185_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u1691_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u1442_o ,\u_logic/_al_u3897_o }),
.d({\u_logic/_al_u1446_o ,\u_logic/Ua0iu6 }),
.e({open_n107508,\u_logic/Ga0iu6 }),
.f({\u_logic/Qbfpw6 [5],\u_logic/Uc4ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~C*~B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000011111100),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1693|u_logic/_al_u3956 (
.b({open_n107531,\u_logic/Hirpw6 }),
.c({\u_logic/Irmpw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/_al_u1450_o ,\u_logic/_al_u3955_o }),
.f({\u_logic/_al_u1693_o ,\u_logic/_al_u3956_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17906)
EG_PHY_LSLICE #(
//.LUTF0("~(C*D)"),
//.LUTF1("~(D@(B*~(C*~A)))"),
//.LUTG0("~(C*D)"),
//.LUTG1("~(D@(B*~(C*~A)))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b1000110001110011),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b1000110001110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1695|u_logic/Ykzpw6_reg (
.a({\u_logic/_al_u1170_o ,open_n107552}),
.b({\u_logic/_al_u1694_o ,open_n107553}),
.c({\u_logic/_al_u1442_o ,\u_logic/K5liu6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/_al_u1446_o ,\u_logic/_al_u4711_o }),
.f({\u_logic/Qbfpw6 [3],\u_logic/K39iu6 }),
.q({open_n107574,\u_logic/vis_msp_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(17906)
EG_PHY_MSLICE #(
//.LUT0("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUT1("(B*~(C*~D))"),
.INIT_LUT0(16'b1100111111000000),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"))
\u_logic/_al_u1697|u_logic/_al_u3672 (
.b({\u_logic/_al_u1696_o ,\u_logic/_al_u3671_o }),
.c({\u_logic/Rskax6 ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/Qv4ju6_lutinv ,\u_logic/_al_u3665_o }),
.f({\u_logic/_al_u1697_o ,\u_logic/_al_u3672_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17217)
EG_PHY_MSLICE #(
//.LUT0("~(C*B*~D)"),
//.LUT1("~(D@(B*~(C*~A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100111111),
.INIT_LUT1(16'b1000110001110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1698|u_logic/Uqipw6_reg (
.a({\u_logic/_al_u1177_o ,open_n107597}),
.b({\u_logic/_al_u1697_o ,\u_logic/_al_u4714_o }),
.c({\u_logic/_al_u1442_o ,\u_logic/Kgoiu6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u1446_o ,\u_logic/_al_u4693_o }),
.f({\u_logic/Qbfpw6 [4],\u_logic/Gfniu6 }),
.q({open_n107614,\u_logic/vis_r11_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(17217)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("~(C@(A*~(D*~B)))"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("~(C@(A*~(D*~B)))"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1000011110100101),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1000011110100101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1700|u_logic/_al_u3904 (
.a({\u_logic/_al_u1699_o ,open_n107615}),
.b({\u_logic/_al_u1631_o ,\u_logic/_al_u3902_o }),
.c({\u_logic/_al_u1446_o ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/R9mpw6 ,\u_logic/_al_u3873_o }),
.f({\u_logic/Qbfpw6 [1],\u_logic/_al_u3904_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~(D*~B)*~(C*~A))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1000110010101111),
.MODE("LOGIC"))
\u_logic/_al_u1701|u_logic/_al_u603 (
.a({\u_logic/_al_u1164_o ,open_n107640}),
.b({\u_logic/Qv4ju6_lutinv ,open_n107641}),
.c({\u_logic/_al_u1442_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/Sqkax6 ,\u_logic/Bxzhu6 }),
.f({\u_logic/_al_u1701_o ,\u_logic/Tgfpw6 [31]}));
EG_PHY_LSLICE #(
//.LUTF0("(D*(A@(C*B)))"),
//.LUTF1("(~(D*B)*~(C*~A))"),
//.LUTG0("(D*(A@(C*B)))"),
//.LUTG1("(~(D*B)*~(C*~A))"),
.INIT_LUTF0(16'b0110101000000000),
.INIT_LUTF1(16'b0010001110101111),
.INIT_LUTG0(16'b0110101000000000),
.INIT_LUTG1(16'b0010001110101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1702|u_logic/_al_u3704 (
.a({\u_logic/_al_u1631_o ,\u_logic/V2kow6_lutinv }),
.b({\u_logic/_al_u1450_o ,\u_logic/Hv3ju6_lutinv }),
.c({\u_logic/Rskax6 ,\u_logic/_al_u3662_o }),
.d({\u_logic/S7mpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1702_o ,\u_logic/_al_u3704_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1705|u_logic/_al_u632 (
.b({\u_logic/Kalpw6 ,open_n107688}),
.c({\u_logic/Sdlpw6 ,\u_logic/Sdlpw6 }),
.d({\u_logic/Epyhu6 ,\u_logic/Bclpw6 }),
.f({\u_logic/Rkzhu6 ,\u_logic/_al_u632_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17353)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~B*~(D*~A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(~C*~B*~(D*~A))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110111111100),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111110111111100),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1709|u_logic/Jflpw6_reg (
.a({open_n107713,\u_logic/_al_u1429_o }),
.b({open_n107714,\u_logic/_al_u1430_o }),
.c({\u_logic/_al_u1425_o ,\u_logic/_al_u633_o }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/M7zhu6 ,\u_logic/U5yhu6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/Lbyhu6 ,open_n107732}),
.q({open_n107736,\u_logic/Jflpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17353)
EG_PHY_MSLICE #(
//.LUT0("(~C*B*~A*~(0*D))"),
//.LUT1("(~C*B*~A*~(1*D))"),
.INIT_LUT0(16'b0000010000000100),
.INIT_LUT1(16'b0000000000000100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1711 (
.a({\u_logic/Sbyhu6 ,\u_logic/Sbyhu6 }),
.b({\u_logic/Zbyhu6_lutinv ,\u_logic/Zbyhu6_lutinv }),
.c({\u_logic/Lbyhu6 ,\u_logic/Lbyhu6 }),
.d({\u_logic/Ncyhu6_lutinv ,\u_logic/Ncyhu6_lutinv }),
.mi({open_n107749,\u_logic/Yklpw6 }),
.fx({open_n107754,\u_logic/_al_u1711_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1010101011111100),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1010101011111100),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1714|u_logic/_al_u689 (
.a({open_n107757,\u_logic/Pt2ju6 }),
.b({open_n107758,\u_logic/Fq8iu6 }),
.c({\u_logic/Ydopw6 ,\u_logic/_al_u688_o }),
.d({\u_logic/_al_u159_o ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u1714_o ,\u_logic/_al_u689_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1715|u_logic/_al_u196 (
.a({open_n107783,\u_logic/_al_u124_o }),
.b({\u_logic/Xxupw6 ,\u_logic/Sq3ju6 }),
.c({\u_logic/Yvjpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/_al_u1612_o ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1715_o ,\u_logic/_al_u196_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(D*C)*~(B*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(D*C)*~(B*A))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000011101110111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000011101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1716|u_logic/_al_u165 (
.a({\u_logic/_al_u1715_o ,open_n107808}),
.b({\u_logic/Cc2ju6_lutinv ,open_n107809}),
.c({\u_logic/_al_u910_o ,\u_logic/R3vpw6 }),
.d({\u_logic/D31ju6 ,\u_logic/Hirpw6 }),
.f({\u_logic/Pvuow6_lutinv ,\u_logic/_al_u165_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(D*C)*~(0*~A))"),
//.LUT1("(~B*~(D*C)*~(1*~A))"),
.INIT_LUT0(16'b0000001100110011),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1718 (
.a({\u_logic/Yoniu6 ,\u_logic/Yoniu6 }),
.b({\u_logic/Fq8iu6 ,\u_logic/Fq8iu6 }),
.c({\u_logic/_al_u1512_o ,\u_logic/_al_u1512_o }),
.d({\u_logic/T23ju6_lutinv ,\u_logic/T23ju6_lutinv }),
.mi({open_n107846,\u_logic/Iixpw6 }),
.fx({open_n107851,\u_logic/_al_u1718_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~(D*C)*~(B*A))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000011101110111),
.MODE("LOGIC"))
\u_logic/_al_u1719|u_logic/_al_u1723 (
.a({\u_logic/_al_u672_o ,open_n107854}),
.b({\u_logic/_al_u391_o ,open_n107855}),
.c({\u_logic/_al_u413_o ,\u_logic/T1vpw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u1719_o ,\u_logic/Edapw6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1721|u_logic/_al_u2702 (
.a({open_n107876,\u_logic/Vo3ju6_lutinv }),
.b({open_n107877,\u_logic/_al_u124_o }),
.c({\u_logic/Vygax6 ,\u_logic/R3vpw6 }),
.d({\u_logic/P5vpw6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u1721_o ,\u_logic/_al_u2702_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~(~0*B)*~(D*A)))"),
//.LUTF1("(~D*~(C*B*A))"),
//.LUTG0("(C*~(~(~1*B)*~(D*A)))"),
//.LUTG1("(~D*~(C*B*A))"),
.INIT_LUTF0(16'b1110000011000000),
.INIT_LUTF1(16'b0000000001111111),
.INIT_LUTG0(16'b1010000000000000),
.INIT_LUTG1(16'b0000000001111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1722|u_logic/_al_u665 (
.a({\u_logic/_al_u147_o ,\u_logic/_al_u147_o }),
.b({\u_logic/Ae0iu6_lutinv ,\u_logic/Frziu6_lutinv }),
.c({\u_logic/Yljiu6 ,\u_logic/_al_u641_o }),
.d({\u_logic/_al_u1721_o ,\u_logic/Vzupw6 }),
.e({open_n107900,\u_logic/Ydopw6 }),
.f({\u_logic/Xiaju6 ,\u_logic/_al_u665_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(D@(C*B*A))"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("~(D@(C*B*A))"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b1000000001111111),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b1000000001111111),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1726|u_logic/_al_u2338 (
.a({\u_logic/_al_u1720_o ,\u_logic/R9mpw6 }),
.b({\u_logic/Xiaju6 ,\u_logic/Rskax6 }),
.c({\u_logic/_al_u1724_o ,\u_logic/Sqkax6 }),
.d({\u_logic/_al_u1725_o ,\u_logic/U1kpw6 }),
.f({\u_logic/Mpniu6 ,\u_logic/_al_u2338_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(~C*~B)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(A*~(~D*~(~C*~B)))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1010101000000010),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1010101000000010),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1727|u_logic/_al_u1720 (
.a({open_n107945,\u_logic/_al_u1719_o }),
.b({open_n107946,\u_logic/_al_u147_o }),
.c({\u_logic/Vzupw6 ,\u_logic/_al_u686_o }),
.d({\u_logic/_al_u1089_o ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u1727_o ,\u_logic/_al_u1720_o }));
EG_PHY_LSLICE #(
//.LUTF0("((~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B)*~(D)*~(0)+~((~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))*D*~(0)+~((~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))*~(D)*0+(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B)*~(D)*0+~((~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))*D*0)"),
//.LUTF1("(~A*(B*C*~(D)+~(B)*~(C)*D+B*C*D))"),
//.LUTG0("((~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B)*~(D)*~(1)+~((~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))*D*~(1)+~((~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))*~(D)*1+(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B)*~(D)*1+~((~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))*D*1)"),
//.LUTG1("(~A*(B*C*~(D)+~(B)*~(C)*D+B*C*D))"),
.INIT_LUTF0(16'b0010111011010001),
.INIT_LUTF1(16'b0100000101000000),
.INIT_LUTG0(16'b0010111011111111),
.INIT_LUTG1(16'b0100000101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1731|u_logic/_al_u3942 (
.a({\u_logic/_al_u1157_o ,\u_logic/_al_u3941_o }),
.b({\u_logic/Wofiu6_lutinv ,\u_logic/Fhoiu6 }),
.c({\u_logic/Rskax6 ,\u_logic/vis_apsr_o[2] }),
.d({\u_logic/Ubypw6 ,\u_logic/Sojax6 }),
.e({open_n107973,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u1731_o ,\u_logic/_al_u3942_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*B*~(~C*~(~0*~A)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*B*~(~C*~(~1*~A)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1100010000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1747|u_logic/_al_u2662 (
.a({open_n107994,\u_logic/_al_u960_o }),
.b({open_n107995,\u_logic/Llaow6_lutinv }),
.c({\u_logic/L45iu6_lutinv ,\u_logic/_al_u651_o }),
.d({\u_logic/Apaiu6_lutinv ,\u_logic/Hirpw6 }),
.e({open_n107998,\u_logic/Xxupw6 }),
.f({\u_logic/I82ju6 ,\u_logic/_al_u2662_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*A*~(D*B))"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*A*~(D*B))"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000001000001010),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1749|u_logic/_al_u2112 (
.a({\u_logic/Yavow6 ,open_n108019}),
.b({\u_logic/I82ju6 ,open_n108020}),
.c({\u_logic/_al_u1748_o ,\u_logic/Hirpw6 }),
.d({\u_logic/_al_u124_o ,\u_logic/Qe8iu6_lutinv }),
.f({\u_logic/_al_u1749_o ,\u_logic/_al_u2112_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~A*~(~0*D*B)))"),
//.LUTF1("(~A*~(~D*C*B))"),
//.LUTG0("(C*~(~A*~(~1*D*B)))"),
//.LUTG1("(~A*~(~D*C*B))"),
.INIT_LUTF0(16'b1110000010100000),
.INIT_LUTF1(16'b0101010100010101),
.INIT_LUTG0(16'b1010000010100000),
.INIT_LUTG1(16'b0101010100010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1753|u_logic/_al_u2113 (
.a({\u_logic/_al_u1752_o ,\u_logic/_al_u2112_o }),
.b({\u_logic/_al_u393_o ,\u_logic/Md0iu6_lutinv }),
.c({\u_logic/Pu1ju6_lutinv ,\u_logic/_al_u1098_o }),
.d({\u_logic/Daiax6 ,\u_logic/Pu1ju6_lutinv }),
.e({open_n108047,\u_logic/Daiax6 }),
.f({\u_logic/_al_u1753_o ,\u_logic/_al_u2113_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTF1("(C*A*~(D*B))"),
//.LUTG0("(D*C*~B*A)"),
//.LUTG1("(C*A*~(D*B))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0010000010100000),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b0010000010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1754|u_logic/_al_u1752 (
.a({\u_logic/_al_u1751_o ,\u_logic/D6kiu6_lutinv }),
.b({\u_logic/Qe8iu6_lutinv ,\u_logic/Daiax6 }),
.c({\u_logic/_al_u1753_o ,\u_logic/R3vpw6 }),
.d({\u_logic/_al_u1462_o ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1754_o ,\u_logic/_al_u1752_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~A*~(D*C*B)))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(1*~(~A*~(D*C*B)))"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1110101010101010),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1756|u_logic/_al_u2566 (
.a({open_n108092,\u_logic/_al_u2565_o }),
.b({\u_logic/Aujpw6 ,\u_logic/Vo3ju6_lutinv }),
.c({\u_logic/Ydopw6 ,\u_logic/_al_u124_o }),
.d({\u_logic/Pt2ju6 ,\u_logic/Pt2ju6 }),
.e({open_n108095,\u_logic/F9vpw6 }),
.f({\u_logic/_al_u1756_o ,\u_logic/_al_u2566_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*C*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*~(~D*C*B))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1010101000101010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1010101000101010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1758|u_logic/_al_u2639 (
.a({\u_logic/_al_u1746_o ,\u_logic/_al_u2638_o }),
.b({\u_logic/_al_u1749_o ,\u_logic/Jxaiu6 }),
.c({\u_logic/_al_u1754_o ,\u_logic/Vo3ju6_lutinv }),
.d({\u_logic/Do1ju6 ,\u_logic/Dxvpw6 }),
.f({\u_logic/L18iu6 ,\u_logic/_al_u2639_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*~D)"),
//.LUTF1("~(C*~D)"),
//.LUTG0("(C*B*~D)"),
//.LUTG1("~(C*~D)"),
.INIT_LUTF0(16'b0000000011000000),
.INIT_LUTF1(16'b1111111100001111),
.INIT_LUTG0(16'b0000000011000000),
.INIT_LUTG1(16'b1111111100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1759|u_logic/_al_u4724 (
.b({open_n108142,\u_logic/Yi8iu6_lutinv }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/L18iu6 ,\u_logic/_al_u2351_o }),
.f({\u_logic/n1481 ,\u_logic/_al_u4724_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(~B*~A*~(~D*C))"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b0001000100000001),
.MODE("LOGIC"))
\u_logic/_al_u1763|u_logic/_al_u1242 (
.a({\u_logic/_al_u1760_o ,open_n108167}),
.b({\u_logic/_al_u1761_o ,\u_logic/U9ypw6 }),
.c({\u_logic/_al_u1762_o ,\u_logic/Wkipw6 }),
.d({\u_logic/_al_u1101_o ,\u_logic/_al_u1241_o }),
.f({\u_logic/_al_u1763_o ,\u_logic/_al_u1242_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*A*~(0*B))"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(D*~C*A*~(1*B))"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b0000101000000000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1766|u_logic/_al_u2006 (
.a({\u_logic/_al_u391_o ,\u_logic/_al_u2004_o }),
.b({\u_logic/_al_u686_o ,\u_logic/Ot7ow6 }),
.c({\u_logic/P5vpw6 ,\u_logic/_al_u2005_o }),
.d({\u_logic/R3vpw6 ,\u_logic/Lv7ow6 }),
.e({open_n108190,\u_logic/Wkipw6 }),
.f({\u_logic/_al_u1766_o ,\u_logic/_al_u2006_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*A*~(0*D))"),
//.LUT1("(C*B*A*~(1*D))"),
.INIT_LUT0(16'b1000000010000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1767 (
.a({\u_logic/D0jiu6 ,\u_logic/D0jiu6 }),
.b({\u_logic/_al_u1763_o ,\u_logic/_al_u1763_o }),
.c({\u_logic/T1jiu6 ,\u_logic/T1jiu6 }),
.d({\u_logic/_al_u1766_o ,\u_logic/_al_u1766_o }),
.mi({open_n108223,\u_logic/Hgrpw6 }),
.fx({open_n108228,\u_logic/_al_u1767_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111011111110110),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1011111110111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1768|u_logic/_al_u2138 (
.a({open_n108231,\u_logic/N4kax6 }),
.b({open_n108232,\u_logic/P0kax6 }),
.c({\u_logic/N4kax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Jckax6 ,\u_logic/Ssjax6 }),
.e({open_n108235,\u_logic/W4jax6 }),
.f({\u_logic/_al_u1768_o ,\u_logic/_al_u2138_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~B*~(~C*A)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~(~B*~(~C*A)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000011001110),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000011001110),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1769|u_logic/_al_u2433 (
.a({open_n108256,\u_logic/_al_u1225_o }),
.b({open_n108257,\u_logic/_al_u2130_o }),
.c({\u_logic/W4jax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/_al_u1768_o ,\u_logic/Ssjax6 }),
.f({\u_logic/Cwiiu6 ,\u_logic/_al_u2433_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*A*(D*~(B)*~(0)+D*B*~(0)+~(D)*B*0+D*B*0))"),
//.LUT1("(~C*A*(D*~(B)*~(1)+D*B*~(1)+~(D)*B*1+D*B*1))"),
.INIT_LUT0(16'b0000101000000000),
.INIT_LUT1(16'b0000100000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1770 (
.a({\u_logic/Vviiu6 ,\u_logic/Vviiu6 }),
.b({\u_logic/Cwiiu6 ,\u_logic/Cwiiu6 }),
.c({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.d({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.mi({open_n108294,\u_logic/Ssjax6 }),
.fx({open_n108299,\u_logic/_al_u1770_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*D*~(~A*~(C*~B)))"),
//.LUTF1("(~A*~(D*C*B))"),
//.LUTG0("(1*D*~(~A*~(C*~B)))"),
//.LUTG1("(~A*~(D*C*B))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0001010101010101),
.INIT_LUTG0(16'b1011101000000000),
.INIT_LUTG1(16'b0001010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1772|u_logic/_al_u2178 (
.a({\u_logic/_al_u1770_o ,\u_logic/_al_u1235_o }),
.b({\u_logic/Hviiu6 ,\u_logic/_al_u2177_o }),
.c({\u_logic/_al_u1771_o ,\u_logic/J9kiu6_lutinv }),
.d({\u_logic/_al_u1617_o ,\u_logic/Dxvpw6 }),
.e({open_n108304,\u_logic/P14qw6 }),
.f({\u_logic/Dtiiu6_lutinv ,\u_logic/_al_u2178_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(B*~(~0*~(~D*~C))))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(~A*~(B*~(~1*~(~D*~C))))"),
//.LUTG1("(~D*C*B*A)"),
.INIT_LUTF0(16'b0101010101010001),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0001000100010001),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1775|u_logic/_al_u2321 (
.a({\u_logic/M1jiu6 ,\u_logic/_al_u2312_o }),
.b({\u_logic/_al_u1767_o ,\u_logic/_al_u2319_o }),
.c({\u_logic/Dtiiu6_lutinv ,\u_logic/Fm6ow6_lutinv }),
.d({\u_logic/_al_u1774_o ,\u_logic/_al_u2320_o }),
.e({open_n108327,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u1775_o ,\u_logic/_al_u2321_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1776|u_logic/_al_u2133 (
.b({\u_logic/_al_u161_o ,open_n108350}),
.c({\u_logic/_al_u688_o ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u1676_o ,\u_logic/Yljiu6 }),
.f({\u_logic/_al_u1776_o ,\u_logic/N3ziu6 }));
EG_PHY_LSLICE #(
//.LUTF0("~(A*~((D*~C))*~(B)+A*(D*~C)*~(B)+~(A)*(D*~C)*B+A*(D*~C)*B)"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(A*~((D*~C))*~(B)+A*(D*~C)*~(B)+~(A)*(D*~C)*B+A*(D*~C)*B)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1101000111011101),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1101000111011101),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1778|u_logic/_al_u1669 (
.a({open_n108375,\u_logic/_al_u1499_o }),
.b({open_n108376,\u_logic/P14qw6 }),
.c({\u_logic/Vygax6 ,\u_logic/U9ypw6 }),
.d({\u_logic/W0piu6_lutinv ,\u_logic/Ydopw6 }),
.f({\u_logic/Htyiu6 ,\u_logic/_al_u1669_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~B*~(C*A)))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1110110000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1779|u_logic/_al_u1774 (
.a({open_n108401,\u_logic/Uyiiu6 }),
.b({open_n108402,\u_logic/_al_u1773_o }),
.c({\u_logic/_al_u1485_o ,\u_logic/_al_u1596_o }),
.d({\u_logic/Htyiu6 ,\u_logic/Dxvpw6 }),
.f({\u_logic/_al_u1779_o ,\u_logic/_al_u1774_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u1784|u_logic/_al_u1226 (
.c({\u_logic/Sojax6 ,\u_logic/Ssjax6 }),
.d({\u_logic/P0kax6 ,\u_logic/Rwjax6 }),
.f({\u_logic/X8ziu6_lutinv ,\u_logic/_al_u1226_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*~C*B))"),
//.LUTF1("(C*B*~D)"),
//.LUTG0("(~A*~(D*~C*B))"),
//.LUTG1("(C*B*~D)"),
.INIT_LUTF0(16'b0101000101010101),
.INIT_LUTF1(16'b0000000011000000),
.INIT_LUTG0(16'b0101000101010101),
.INIT_LUTG1(16'b0000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1786|u_logic/_al_u2160 (
.a({open_n108447,\u_logic/_al_u1232_o }),
.b({\u_logic/W0piu6_lutinv ,\u_logic/Dxvpw6 }),
.c({\u_logic/_al_u159_o ,\u_logic/Ssjax6 }),
.d({\u_logic/_al_u1785_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1786_o ,\u_logic/_al_u2160_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~D)"),
//.LUT1("(~D*~C*~B*~A)"),
.INIT_LUT0(16'b0000000000110000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"))
\u_logic/_al_u1787|u_logic/_al_u4025 (
.a({\u_logic/_al_u1780_o ,open_n108472}),
.b({\u_logic/_al_u1782_o ,\u_logic/P14qw6 }),
.c({\u_logic/Ot7ow6 ,\u_logic/U9ypw6 }),
.d({\u_logic/_al_u1786_o ,\u_logic/F6ziu6 }),
.f({\u_logic/_al_u1787_o ,\u_logic/_al_u4025_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(D*C*~(~0*~A)))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~B*~(D*C*~(~1*~A)))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0001001100110011),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000001100110011),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1790|u_logic/_al_u1745 (
.a({open_n108493,\u_logic/_al_u1676_o }),
.b({\u_logic/_al_u390_o ,\u_logic/_al_u644_o }),
.c({\u_logic/T1vpw6 ,\u_logic/_al_u641_o }),
.d({\u_logic/_al_u1789_o ,\u_logic/_al_u688_o }),
.e({open_n108496,\u_logic/Hirpw6 }),
.f({\u_logic/Oeziu6 ,\u_logic/Rcziu6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u1791|u_logic/_al_u1746 (
.b({\u_logic/Pu1ju6_lutinv ,\u_logic/_al_u151_o }),
.c({\u_logic/_al_u1103_o ,\u_logic/Hirpw6 }),
.d({\u_logic/_al_u1752_o ,\u_logic/Rcziu6 }),
.f({\u_logic/_al_u1791_o ,\u_logic/_al_u1746_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(~D*A))"),
//.LUTF1("(A*~(~D*C*B))"),
//.LUTG0("(~(C*~B)*~(~D*A))"),
//.LUTG1("(A*~(~D*C*B))"),
.INIT_LUTF0(16'b1100111101000101),
.INIT_LUTF1(16'b1010101000101010),
.INIT_LUTG0(16'b1100111101000101),
.INIT_LUTG1(16'b1010101000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1792|u_logic/_al_u2558 (
.a({\u_logic/_al_u1791_o ,\u_logic/_al_u2556_o }),
.b({\u_logic/_al_u164_o ,\u_logic/_al_u2557_o }),
.c({\u_logic/_al_u1094_o ,\u_logic/Llaow6_lutinv }),
.d({\u_logic/Aujpw6 ,\u_logic/Hirpw6 }),
.f({\u_logic/Ez1ju6 ,\u_logic/_al_u2558_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~A*~(D*B)))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000111000001010),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1793|u_logic/_al_u2689 (
.a({open_n108563,\u_logic/_al_u2452_o }),
.b({open_n108564,\u_logic/_al_u1094_o }),
.c({\u_logic/_al_u162_o ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/_al_u164_o ,\u_logic/_al_u2252_o }),
.f({\u_logic/_al_u1793_o ,\u_logic/_al_u2689_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~(~C*~B)*~(0)*~(D)+~(~C*~B)*0*~(D)+~(~(~C*~B))*0*D+~(~C*~B)*0*D))"),
//.LUT1("(A*~(~(~C*~B)*~(1)*~(D)+~(~C*~B)*1*~(D)+~(~(~C*~B))*1*D+~(~C*~B)*1*D))"),
.INIT_LUT0(16'b1010101000000010),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1794 (
.a({\u_logic/_al_u1793_o ,\u_logic/_al_u1793_o }),
.b({\u_logic/Sq3ju6 ,\u_logic/Sq3ju6 }),
.c({\u_logic/Frziu6_lutinv ,\u_logic/Frziu6_lutinv }),
.d({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.mi({open_n108597,\u_logic/Yvjpw6 }),
.fx({open_n108602,\u_logic/_al_u1794_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17509)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(0*~(B*~(D*A))))"),
//.LUTF1("(D*~C*~(B*A))"),
//.LUTG0("~(~C*~(1*~(B*~(D*A))))"),
//.LUTG1("(D*~C*~(B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b0000011100000000),
.INIT_LUTG0(16'b1111101111110011),
.INIT_LUTG1(16'b0000011100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1795|u_logic/Ydopw6_reg (
.a({\u_logic/Jckax6 ,\u_logic/_al_u3977_o }),
.b({\u_logic/Jgxpw6 ,\u_logic/_al_u3989_o }),
.c({\u_logic/N4kax6 ,\u_logic/_al_u3990_o }),
.clk(clk_pad),
.d({\u_logic/W4jax6 ,\u_logic/_al_u3991_o }),
.e({open_n108606,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.sr(cpuresetn),
.f({\u_logic/Hbbow6 ,open_n108621}),
.q({open_n108625,\u_logic/Ydopw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17509)
EG_PHY_MSLICE #(
//.LUT0("(C*~(~A*~(~D*B)))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1010000011100000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1796|u_logic/_al_u2688 (
.a({open_n108626,\u_logic/_al_u2687_o }),
.b({\u_logic/Frziu6_lutinv ,\u_logic/Nu9ow6 }),
.c({\u_logic/Ya1ju6_lutinv ,\u_logic/Llaow6_lutinv }),
.d({\u_logic/Hbbow6 ,\u_logic/Wkipw6 }),
.f({\u_logic/T4aow6 ,\u_logic/_al_u2688_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(0*D*~C))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(~B*~A*~(1*D*~C))"),
//.LUTG1("(~D*C*B*A)"),
.INIT_LUTF0(16'b0001000100010001),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1797|u_logic/_al_u2162 (
.a({\u_logic/T4aow6 ,\u_logic/_al_u2159_o }),
.b({\u_logic/Kxziu6_lutinv ,\u_logic/_al_u2161_o }),
.c({\u_logic/_al_u160_o ,\u_logic/Wxyiu6 }),
.d({\u_logic/Ydopw6 ,\u_logic/_al_u390_o }),
.e({open_n108649,\u_logic/Np7ow6_lutinv }),
.f({\u_logic/_al_u1797_o ,\u_logic/_al_u2162_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*~D)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(C*B*~D)"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b0000000011000000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000000011000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1798|u_logic/_al_u1788 (
.a({\u_logic/Oeziu6 ,open_n108670}),
.b({\u_logic/Ez1ju6 ,\u_logic/_al_u154_o }),
.c({\u_logic/_al_u1794_o ,\u_logic/Frziu6_lutinv }),
.d({\u_logic/_al_u1797_o ,\u_logic/_al_u1101_o }),
.f({\u_logic/_al_u1798_o ,\u_logic/_al_u1788_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~1*~D*C*B*A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1799|u_logic/_al_u2166 (
.a({open_n108695,\u_logic/T41ju6 }),
.b({open_n108696,\u_logic/_al_u2158_o }),
.c({\u_logic/U9ypw6 ,\u_logic/_al_u2162_o }),
.d({\u_logic/Uu9ow6_lutinv ,\u_logic/_al_u2163_o }),
.e({open_n108699,\u_logic/_al_u2165_o }),
.f({\u_logic/Ujjiu6 ,\u_logic/_al_u2166_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1800|u_logic/_al_u1819 (
.b({open_n108722,\u_logic/Irmpw6 }),
.c({\u_logic/_al_u703_o ,\u_logic/Wkipw6 }),
.d({\u_logic/_al_u1766_o ,\u_logic/R9aiu6 }),
.f({\u_logic/_al_u1800_o ,\u_logic/Wh0ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(B*~((~0*D))*~(C)+B*(~0*D)*~(C)+~(B)*(~0*D)*C+B*(~0*D)*C))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~A*~(B*~((~1*D))*~(C)+B*(~1*D)*~(C)+~(B)*(~1*D)*C+B*(~1*D)*C))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000000101010001),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0101000101010001),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1801|u_logic/_al_u2390 (
.a({open_n108743,\u_logic/_al_u2387_o }),
.b({\u_logic/Pu1ju6_lutinv ,\u_logic/_al_u2388_o }),
.c({\u_logic/Daiax6 ,\u_logic/_al_u1676_o }),
.d({\u_logic/Ldoiu6_lutinv ,\u_logic/_al_u2389_o }),
.e({open_n108746,\u_logic/Xxupw6 }),
.f({\u_logic/G1aow6 ,\u_logic/_al_u2390_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u1802|u_logic/_al_u2456 (
.a({\u_logic/G1aow6 ,\u_logic/_al_u1077_o }),
.b({\u_logic/_al_u1681_o ,\u_logic/_al_u154_o }),
.c({\u_logic/_al_u1098_o ,\u_logic/Pthiu6 }),
.d({\u_logic/_al_u976_o ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u1802_o ,\u_logic/_al_u2456_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~A*~(D*C)))"),
//.LUT1("(C*~A*~(~D*B))"),
.INIT_LUT0(16'b1100100010001000),
.INIT_LUT1(16'b0101000000010000),
.MODE("LOGIC"))
\u_logic/_al_u1803|u_logic/_al_u1613 (
.a({\u_logic/Ujjiu6 ,\u_logic/_al_u1101_o }),
.b({\u_logic/_al_u1800_o ,\u_logic/_al_u1612_o }),
.c({\u_logic/_al_u1802_o ,\u_logic/Dxvpw6 }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/Hgrpw6 }),
.f({\u_logic/_al_u1803_o ,\u_logic/_al_u1613_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*A*~(~B*~(0*D)))"),
//.LUT1("(C*A*~(~B*~(1*D)))"),
.INIT_LUT0(16'b1000000010000000),
.INIT_LUT1(16'b1010000010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1805 (
.a({\u_logic/Vviiu6 ,\u_logic/Vviiu6 }),
.b({\u_logic/_al_u1804_o ,\u_logic/_al_u1804_o }),
.c({\u_logic/_al_u159_o ,\u_logic/_al_u159_o }),
.d({\u_logic/Dxvpw6 ,\u_logic/Dxvpw6 }),
.mi({open_n108819,\u_logic/Skjax6 }),
.fx({open_n108824,\u_logic/_al_u1805_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(D*~C))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(~B*A*~(D*~C))"),
//.LUTG1("(~D*C*B*A)"),
.INIT_LUTF0(16'b0010000000100010),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0010000000100010),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1806|u_logic/_al_u2134 (
.a({\u_logic/_al_u1787_o ,\u_logic/_al_u2128_o }),
.b({\u_logic/_al_u1798_o ,\u_logic/_al_u2132_o }),
.c({\u_logic/_al_u1803_o ,\u_logic/_al_u960_o }),
.d({\u_logic/_al_u1805_o ,\u_logic/N3ziu6 }),
.f({\u_logic/_al_u1806_o ,\u_logic/_al_u2134_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*C*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(A*~(~D*C*B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1010101000101010),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1010101000101010),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1807|u_logic/_al_u2462 (
.a({open_n108851,\u_logic/_al_u2461_o }),
.b({open_n108852,\u_logic/_al_u164_o }),
.c({\u_logic/R3vpw6 ,\u_logic/_al_u1094_o }),
.d({\u_logic/Cc2ju6_lutinv ,\u_logic/Ufopw6 }),
.f({\u_logic/Geoiu6 ,\u_logic/_al_u2462_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~A*~(C*~B)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*~(~A*~(C*~B)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1011101000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1011101000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1808|u_logic/_al_u1508 (
.a({open_n108877,\u_logic/_al_u1076_o }),
.b({open_n108878,\u_logic/_al_u147_o }),
.c({\u_logic/Geoiu6 ,\u_logic/D6kiu6_lutinv }),
.d({\u_logic/Qe8iu6_lutinv ,\u_logic/Vzjpw6 }),
.f({\u_logic/_al_u1808_o ,\u_logic/Tc8iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(~D*C)*~(~0*B*A))"),
//.LUT1("(~(~D*C)*~(~1*B*A))"),
.INIT_LUT0(16'b0111011100000111),
.INIT_LUT1(16'b1111111100001111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1810 (
.a({\u_logic/_al_u1808_o ,\u_logic/_al_u1808_o }),
.b({\u_logic/Eoyiu6_lutinv ,\u_logic/Eoyiu6_lutinv }),
.c({\u_logic/Ls1ju6 ,\u_logic/Ls1ju6 }),
.d({\u_logic/_al_u1809_o ,\u_logic/_al_u1809_o }),
.mi({open_n108915,\u_logic/Hirpw6 }),
.fx({open_n108920,\u_logic/_al_u1810_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1811|u_logic/_al_u2322 (
.a({open_n108923,\u_logic/Eoyiu6_lutinv }),
.b({open_n108924,\u_logic/_al_u164_o }),
.c({\u_logic/D1piu6_lutinv ,\u_logic/_al_u1094_o }),
.d({\u_logic/_al_u151_o ,\u_logic/_al_u641_o }),
.f({\u_logic/_al_u1811_o ,\u_logic/_al_u2322_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~D*C*~A))"),
//.LUT1("(B*~(A*~(D*C)))"),
.INIT_LUT0(16'b0011001100100011),
.INIT_LUT1(16'b1100010001000100),
.MODE("LOGIC"))
\u_logic/_al_u1812|u_logic/_al_u2753 (
.a({\u_logic/_al_u1810_o ,\u_logic/_al_u1676_o }),
.b({\u_logic/_al_u1676_o ,\u_logic/_al_u2752_o }),
.c({\u_logic/_al_u1811_o ,\u_logic/_al_u1062_o }),
.d({\u_logic/_al_u164_o ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u1812_o ,\u_logic/_al_u2753_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1815|u_logic/_al_u2341 (
.c({\u_logic/P0kax6 ,\u_logic/Sojax6 }),
.d({\u_logic/Qxoiu6 ,\u_logic/Rwjax6 }),
.f({\u_logic/Ak0ju6 ,\u_logic/_al_u2341_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\u_logic/_al_u1816|u_logic/_al_u1813 (
.a({\u_logic/W0piu6_lutinv ,\u_logic/_al_u1230_o }),
.b({\u_logic/_al_u723_o ,\u_logic/_al_u723_o }),
.c({\u_logic/Ia8iu6_lutinv ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/Ak0ju6 ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u1816_o ,\u_logic/_al_u1813_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*A)"),
//.LUTF1("(~B*~A*~(D*C))"),
//.LUTG0("(~D*C*B*A)"),
//.LUTG1("(~B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0000000100010001),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1817|u_logic/_al_u4033 (
.a({\u_logic/_al_u1814_o ,\u_logic/_al_u1230_o }),
.b({\u_logic/_al_u1816_o ,\u_logic/_al_u1499_o }),
.c({\u_logic/_al_u388_o ,\u_logic/Dxvpw6 }),
.d({\u_logic/T1vpw6 ,\u_logic/P14qw6 }),
.f({\u_logic/_al_u1817_o ,\u_logic/_al_u4033_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*~D)"),
//.LUT1("(~B*A*~(~D*~C))"),
.INIT_LUT0(16'b0000000011000000),
.INIT_LUT1(16'b0010001000100000),
.MODE("LOGIC"))
\u_logic/_al_u1818|u_logic/_al_u2161 (
.a({\u_logic/_al_u1806_o ,open_n109037}),
.b({\u_logic/_al_u1812_o ,\u_logic/W0piu6_lutinv }),
.c({\u_logic/_al_u1817_o ,\u_logic/Sojax6 }),
.d({\u_logic/Vygax6 ,\u_logic/_al_u2160_o }),
.f({\u_logic/_al_u1818_o ,\u_logic/_al_u2161_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*~C*~B*~A))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(1*~(~D*~C*~B*~A))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1111111111111110),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1822|u_logic/_al_u2142 (
.a({open_n109058,\u_logic/_al_u2139_o }),
.b({\u_logic/Nbkiu6_lutinv ,\u_logic/_al_u2140_o }),
.c({\u_logic/Dxvpw6 ,\u_logic/_al_u1499_o }),
.d({\u_logic/_al_u1821_o ,\u_logic/_al_u2141_o }),
.e({open_n109061,\u_logic/Dxvpw6 }),
.f({\u_logic/_al_u1822_o ,\u_logic/_al_u2142_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*~(B@A)))"),
//.LUT1("(C*~(B*~(~D*~A)))"),
.INIT_LUT0(16'b0000111100000110),
.INIT_LUT1(16'b0011000001110000),
.MODE("LOGIC"))
\u_logic/_al_u1823|u_logic/_al_u2725 (
.a({\u_logic/Rwjax6 ,\u_logic/N4kax6 }),
.b({\u_logic/Skjax6 ,\u_logic/Rwjax6 }),
.c({\u_logic/Sojax6 ,\u_logic/Sojax6 }),
.d({\u_logic/Ssjax6 ,\u_logic/Ssjax6 }),
.f({\u_logic/Wv9ow6 ,\u_logic/_al_u2725_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~C*B))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b1010001010101010),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u1825|u_logic/_al_u1829 (
.a({\u_logic/_al_u1820_o ,\u_logic/Qs9ow6 }),
.b({\u_logic/_al_u1822_o ,\u_logic/Yo1ju6 }),
.c({\u_logic/Wv9ow6 ,\u_logic/_al_u1828_o }),
.d({\u_logic/_al_u1824_o ,\u_logic/Dxvpw6 }),
.f({\u_logic/Qs9ow6 ,\u_logic/_al_u1829_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1826|u_logic/_al_u2140 (
.b({\u_logic/_al_u402_o ,\u_logic/Rwjax6 }),
.c({\u_logic/_al_u1246_o ,\u_logic/Ssjax6 }),
.d({\u_logic/_al_u1821_o ,\u_logic/_al_u723_o }),
.f({\u_logic/Yo1ju6 ,\u_logic/_al_u2140_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1011111101110000),
.INIT_LUT1(16'b1011111101010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1827 (
.a({\u_logic/N4kax6 ,\u_logic/N4kax6 }),
.b({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.c({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Ssjax6 ,\u_logic/Ssjax6 }),
.mi({open_n109160,\u_logic/W4jax6 }),
.fx({open_n109165,\u_logic/_al_u1827_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(D*C*~B*A)"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0010000000000000),
.MODE("LOGIC"))
\u_logic/_al_u182|u_logic/_al_u192 (
.a({\u_logic/Dzvpw6 ,\u_logic/Dzvpw6 }),
.b({\u_logic/M6kax6 ,\u_logic/M6kax6 }),
.c({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.d({\u_logic/Wxjpw6 ,\u_logic/Wxjpw6 }),
.f({\u_logic/Wanow6_lutinv ,\u_logic/E8now6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~A*~(C*B)))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000011101010),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1831|u_logic/_al_u1494 (
.a({open_n109188,\u_logic/_al_u1493_o }),
.b({open_n109189,\u_logic/Ia8iu6_lutinv }),
.c({\u_logic/U9ypw6 ,\u_logic/_al_u397_o }),
.d({\u_logic/_al_u1493_o ,\u_logic/U9ypw6 }),
.f({\u_logic/Cbbiu6_lutinv ,\u_logic/_al_u1494_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(~D*C))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b1000100000001000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u1833|u_logic/_al_u1504 (
.a({\u_logic/Habiu6 ,\u_logic/_al_u164_o }),
.b({\u_logic/Tc8iu6 ,\u_logic/Yljiu6 }),
.c({\u_logic/T5mpw6 ,\u_logic/Aujpw6 }),
.d({\u_logic/Wfspw6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u1833_o ,\u_logic/_al_u1504_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*B*~(D*A))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000010000001100),
.MODE("LOGIC"))
\u_logic/_al_u1834|u_logic/_al_u387 (
.a({\u_logic/Cbbiu6_lutinv ,open_n109230}),
.b({\u_logic/_al_u1833_o ,open_n109231}),
.c({\u_logic/_al_u1505_o ,\u_logic/P5vpw6 }),
.d({\u_logic/W4jax6 ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u1834_o ,\u_logic/D6kiu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(A*~(~B*(D@C)))"),
//.LUTG0("(~C*D)"),
//.LUTG1("(A*~(~B*(D@C)))"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1010100010001010),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1010100010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1835|u_logic/_al_u160 (
.a({\u_logic/_al_u1834_o ,open_n109252}),
.b({\u_logic/_al_u1515_o ,open_n109253}),
.c({\u_logic/R9mpw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/Sqkax6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1835_o ,\u_logic/_al_u160_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~C)*~(0*~B))"),
//.LUT1("(A*~(D*~C)*~(1*~B))"),
.INIT_LUT0(16'b1010000010101010),
.INIT_LUT1(16'b1000000010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1836 (
.a({\u_logic/_al_u1835_o ,\u_logic/_al_u1835_o }),
.b({\u_logic/Yb8iu6 ,\u_logic/Yb8iu6 }),
.c({\u_logic/Hd8iu6_lutinv ,\u_logic/Hd8iu6_lutinv }),
.d({\u_logic/N4kax6 ,\u_logic/N4kax6 }),
.mi({open_n109290,\u_logic/S7mpw6 }),
.fx({open_n109295,\u_logic/_al_u1836_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(C@B@A))"),
//.LUTF1("(~(D)*~(B)*~(C)+D*~(B)*~(C)+~(D)*B*~(C)+~(D)*~(B)*C)"),
//.LUTG0("(D*(C@B@A))"),
//.LUTG1("(~(D)*~(B)*~(C)+D*~(B)*~(C)+~(D)*B*~(C)+~(D)*~(B)*C)"),
.INIT_LUTF0(16'b1001011000000000),
.INIT_LUTF1(16'b0000001100111111),
.INIT_LUTG0(16'b1001011000000000),
.INIT_LUTG1(16'b0000001100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1838|u_logic/_al_u1882 (
.a({open_n109298,\u_logic/_al_u1501_o }),
.b({\u_logic/Jckax6 ,\u_logic/Jckax6 }),
.c({\u_logic/Jgxpw6 ,\u_logic/Jgxpw6 }),
.d({\u_logic/_al_u1501_o ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u1838_o ,\u_logic/Lwjiu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(A@(~(B)*~(C)*~(D)*~(0)+B*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*~(C)*~(D)*0+B*C*D*0))"),
//.LUT1("(A@(~(B)*~(C)*~(D)*~(1)+B*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*~(C)*~(D)*1+B*C*D*1))"),
.INIT_LUT0(16'b1010100110010101),
.INIT_LUT1(16'b0110101010101001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1839 (
.a({\u_logic/_al_u1838_o ,\u_logic/_al_u1838_o }),
.b({\u_logic/Irmpw6 ,\u_logic/Irmpw6 }),
.c({\u_logic/S7mpw6 ,\u_logic/S7mpw6 }),
.d({\u_logic/Wfspw6 ,\u_logic/Wfspw6 }),
.mi({open_n109335,\u_logic/Wkipw6 }),
.fx({open_n109340,\u_logic/Ewjiu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*A)"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("(~D*C*~B*A)"),
//.LUTG1("(D*~C*~B*A)"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u183|u_logic/_al_u191 (
.a({\u_logic/Dzvpw6 ,\u_logic/Dzvpw6 }),
.b({\u_logic/M6kax6 ,\u_logic/M6kax6 }),
.c({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.d({\u_logic/Wxjpw6 ,\u_logic/Wxjpw6 }),
.f({\u_logic/C7now6_lutinv ,\u_logic/X7now6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*~A)"),
//.LUTF1("(~D*C*~B*~A)"),
//.LUTG0("(D*C*~B*~A)"),
//.LUTG1("(~D*C*~B*~A)"),
.INIT_LUTF0(16'b0001000000000000),
.INIT_LUTF1(16'b0000000000010000),
.INIT_LUTG0(16'b0001000000000000),
.INIT_LUTG1(16'b0000000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u185|u_logic/_al_u189 (
.a({\u_logic/Dzvpw6 ,\u_logic/Dzvpw6 }),
.b({\u_logic/M6kax6 ,\u_logic/M6kax6 }),
.c({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.d({\u_logic/Wxjpw6 ,\u_logic/Wxjpw6 }),
.f({\u_logic/N9now6_lutinv ,\u_logic/Panow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(A*~(B*~(D*~C)))"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0010101000100010),
.MODE("LOGIC"))
\u_logic/_al_u1866|u_logic/_al_u633 (
.a({\u_logic/M7zhu6 ,\u_logic/Hmzhu6_lutinv }),
.b({\u_logic/Golpw6 ,\u_logic/U5yhu6 }),
.c({\u_logic/Krlpw6 ,\u_logic/_al_u632_o }),
.d({\u_logic/Vplpw6 ,\u_logic/Rilpw6 }),
.f({\u_logic/_al_u1866_o ,\u_logic/_al_u633_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~A*~(C*B)))"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(D*~(~A*~(C*B)))"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b1110101000000000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b1110101000000000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1867|u_logic/_al_u1969 (
.a({open_n109411,\u_logic/_al_u1968_o }),
.b({\u_logic/_al_u1422_o ,\u_logic/Iyyhu6 }),
.c({\u_logic/Rkzhu6 ,\u_logic/_al_u133_o }),
.d({\u_logic/_al_u1866_o ,\u_logic/Rilpw6 }),
.f({\u_logic/_al_u1867_o ,\u_logic/_al_u1969_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUT1("(1*A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0010001000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1869 (
.a({\u_logic/_al_u618_o ,\u_logic/_al_u618_o }),
.b({\u_logic/Bclpw6 ,\u_logic/Bclpw6 }),
.c({\u_logic/Jflpw6 ,\u_logic/Jflpw6 }),
.d({\u_logic/Rilpw6 ,\u_logic/Rilpw6 }),
.mi({open_n109448,\u_logic/Sdlpw6 }),
.fx({open_n109453,\u_logic/_al_u1869_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~B*~A)"),
//.LUT1("(~D*~C*~B*~A)"),
.INIT_LUT0(16'b0000000100000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"))
\u_logic/_al_u186|u_logic/_al_u188 (
.a({\u_logic/Dzvpw6 ,\u_logic/Dzvpw6 }),
.b({\u_logic/M6kax6 ,\u_logic/M6kax6 }),
.c({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.d({\u_logic/Wxjpw6 ,\u_logic/Wxjpw6 }),
.f({\u_logic/U9now6_lutinv ,\u_logic/V6now6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u1870|u_logic/_al_u1421 (
.b({\u_logic/_al_u632_o ,open_n109478}),
.c({\u_logic/_al_u1427_o ,\u_logic/Yklpw6 }),
.d({\u_logic/_al_u1869_o ,\u_logic/Jflpw6 }),
.f({\u_logic/J9zhu6 ,\u_logic/Epyhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(A)*~(B)*~(D)*~(0)+~(A)*B*~(D)*~(0)+~(A)*~(B)*D*~(0)+~(A)*B*~(D)*0+A*B*~(D)*0))"),
//.LUT1("(C*(~(A)*~(B)*~(D)*~(1)+~(A)*B*~(D)*~(1)+~(A)*~(B)*D*~(1)+~(A)*B*~(D)*1+A*B*~(D)*1))"),
.INIT_LUT0(16'b0001000001010000),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1871 (
.a({\u_logic/Bclpw6 ,\u_logic/Bclpw6 }),
.b({\u_logic/Jflpw6 ,\u_logic/Jflpw6 }),
.c({\u_logic/Kalpw6 ,\u_logic/Kalpw6 }),
.d({\u_logic/Sdlpw6 ,\u_logic/Sdlpw6 }),
.mi({open_n109511,\u_logic/Yklpw6 }),
.fx({open_n109516,\u_logic/_al_u1871_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~(0*~(~B*A)))"),
//.LUT1("(~D*C*~(1*~(~B*A)))"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000000100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1872 (
.a({\u_logic/_al_u1867_o ,\u_logic/_al_u1867_o }),
.b({\u_logic/_al_u1868_o ,\u_logic/_al_u1868_o }),
.c({\u_logic/J9zhu6 ,\u_logic/J9zhu6 }),
.d({\u_logic/_al_u1871_o ,\u_logic/_al_u1871_o }),
.mi({open_n109531,\u_logic/Rilpw6 }),
.fx({open_n109536,\u_logic/_al_u1872_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(D*~(~C*B*~A))"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b1111101100000000),
.MODE("LOGIC"))
\u_logic/_al_u1873|u_logic/_al_u1424 (
.a({\u_logic/X9zhu6 ,open_n109539}),
.b({\u_logic/_al_u1872_o ,\u_logic/Bclpw6 }),
.c({\u_logic/_al_u1426_o ,\u_logic/Sdlpw6 }),
.d({\u_logic/U5yhu6 ,\u_logic/Hmzhu6_lutinv }),
.f({\u_logic/_al_u1873_o ,\u_logic/M7zhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~A*(C@(D*B)))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0001010001010000),
.MODE("LOGIC"))
\u_logic/_al_u1875|u_logic/_al_u645 (
.a({\u_logic/_al_u1515_o ,open_n109560}),
.b({\u_logic/R9mpw6 ,open_n109561}),
.c({\u_logic/Rskax6 ,\u_logic/T1vpw6 }),
.d({\u_logic/Sqkax6 ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u1875_o ,\u_logic/Ldoiu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17848)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~C*B*A)"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("~(~D*~C*B*A)"),
//.LUTG1("(~D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110111),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b1111111111110111),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1876|u_logic/Iixpw6_reg (
.a({open_n109582,\u_logic/_al_u722_o }),
.b({\u_logic/Cbbiu6_lutinv ,\u_logic/Y75iu6 }),
.c({\u_logic/N4kax6 ,\u_logic/_al_u389_o }),
.ce(\u_logic/n3178 ),
.clk(clk_pad),
.d({\u_logic/_al_u1875_o ,\u_logic/_al_u390_o }),
.f({\u_logic/_al_u1876_o ,open_n109600}),
.q({open_n109604,\u_logic/Iixpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17848)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~B*~(C*D))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~B*~(C*D))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000001100110011),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1877|u_logic/_al_u388 (
.b({\u_logic/_al_u1505_o ,open_n109607}),
.c({\u_logic/S7mpw6 ,\u_logic/P5vpw6 }),
.d({\u_logic/Habiu6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u1877_o ,\u_logic/_al_u388_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*A*~(D*~B))"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b0000100000001010),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u1878|u_logic/_al_u1505 (
.a({\u_logic/_al_u1876_o ,\u_logic/_al_u388_o }),
.b({\u_logic/_al_u1877_o ,\u_logic/Irmpw6 }),
.c({\u_logic/Tc8iu6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Jpmpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1878_o ,\u_logic/_al_u1505_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*~C)*~(D*~B))"),
//.LUT1("(A*~(1*~C)*~(D*~B))"),
.INIT_LUT0(16'b1000100010101010),
.INIT_LUT1(16'b1000000010100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1879 (
.a({\u_logic/_al_u1878_o ,\u_logic/_al_u1878_o }),
.b({\u_logic/Yb8iu6 ,\u_logic/Yb8iu6 }),
.c({\u_logic/Hd8iu6_lutinv ,\u_logic/Hd8iu6_lutinv }),
.d({\u_logic/Irmpw6 ,\u_logic/Irmpw6 }),
.mi({open_n109664,\u_logic/P0kax6 }),
.fx({open_n109669,\u_logic/_al_u1879_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(D*~(C@B))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1880|u_logic/_al_u1884 (
.b({\u_logic/Ewjiu6_lutinv ,\u_logic/_al_u1502_o }),
.c({\u_logic/_al_u1840_o ,\u_logic/N4kax6 }),
.d({\u_logic/W7biu6 ,\u_logic/Ewjiu6_lutinv }),
.f({\u_logic/Zbjiu6 ,\u_logic/Ncjiu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(B*~(C*D))"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000110011001100),
.MODE("LOGIC"))
\u_logic/_al_u1883|u_logic/_al_u2063 (
.b({\u_logic/_al_u1881_o ,open_n109700}),
.c({\u_logic/Lwjiu6_lutinv ,\u_logic/Iekax6 }),
.d({\u_logic/Ewjiu6_lutinv ,\u_logic/_al_u1996_o }),
.f({\u_logic/_al_u1883_o ,\u_logic/_al_u2063_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1887|u_logic/_al_u1735 (
.b({open_n109723,\u_logic/R9yax6 }),
.c({\u_logic/Wjyiu6 ,\u_logic/W5ypw6 }),
.d({\u_logic/Kkyiu6 ,\u_logic/K5eiu6 }),
.f({\u_logic/Kwfiu6 ,\u_logic/F0eow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1890|u_logic/_al_u3036 (
.a({open_n109748,\u_logic/F0eow6 }),
.b({\u_logic/vis_ipsr_o[2] ,\u_logic/M0eow6 }),
.c({\u_logic/vis_ipsr_o[3] ,\u_logic/Usipw6 }),
.d({\u_logic/Rmbpw6 ,\u_logic/V73bx6 }),
.f({\u_logic/_al_u1890_o ,\u_logic/_al_u3036_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19374)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1891|u_logic/P12bx6_reg (
.a({open_n109773,\u_logic/_al_u2047_o }),
.b({\u_logic/_al_u1890_o ,\u_logic/_al_u1066_o }),
.c({\u_logic/Ukbpw6_lutinv ,\u_logic/_al_u2042_o }),
.clk(clk_pad),
.d({\u_logic/_al_u1066_o ,\u_logic/A9row6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u1891_o ,\u_logic/B1phu6 }),
.q({open_n109790,\u_logic/P12bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19374)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*(D*C)*~(0)+~(A)*~(B)*~((D*C))*0+A*~(B)*~((D*C))*0+~(A)*B*~((D*C))*0+A*B*~((D*C))*0+~(A)*~(B)*(D*C)*0+A*~(B)*(D*C)*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*(D*C)*~(1)+~(A)*~(B)*~((D*C))*1+A*~(B)*~((D*C))*1+~(A)*B*~((D*C))*1+A*B*~((D*C))*1+~(A)*~(B)*(D*C)*1+A*~(B)*(D*C)*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0000100001011111),
.INIT_LUTG0(16'b0011111111111111),
.INIT_LUTG1(16'b0000100001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1893|u_logic/_al_u2421 (
.a({HWDATA[8],\u_logic/_al_u1066_o }),
.b({\u_logic/K66iu6 ,\u_logic/_al_u2393_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u1908_o }),
.d({\u_logic/Us3bx6 ,\u_logic/_al_u1067_o }),
.e({open_n109793,\u_logic/Om3bx6 }),
.f({\u_logic/B56iu6 ,\u_logic/_al_u2421_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUT1("(~D*C*B*~A)"),
.INIT_LUT0(16'b0000100001011111),
.INIT_LUT1(16'b0000000001000000),
.MODE("LOGIC"))
\u_logic/_al_u1894|u_logic/_al_u1939 (
.a({\u_logic/vis_ipsr_o[2] ,HWDATA[24]}),
.b({\u_logic/vis_ipsr_o[4] ,\u_logic/K66iu6 }),
.c({\u_logic/vis_ipsr_o[3] ,\u_logic/Kwfiu6 }),
.d({\u_logic/vis_ipsr_o[5] ,\u_logic/Dv2bx6 }),
.f({\u_logic/_al_u1894_o ,\u_logic/_al_u1939_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19230)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1895|u_logic/Ln0bx6_reg (
.a({open_n109834,HWDATA[19]}),
.b({open_n109835,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Ukbpw6_lutinv ,\u_logic/Ln0bx6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1894_o ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/Bggiu6_lutinv ,open_n109853}),
.q({open_n109857,\u_logic/Ln0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19230)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000100001011111),
.INIT_LUT1(16'b0000100001011111),
.MODE("LOGIC"))
\u_logic/_al_u1897|u_logic/_al_u1925 (
.a(HWDATA[2:1]),
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/N5bbx6 ,\u_logic/Aa2bx6 }),
.f({\u_logic/_al_u1897_o ,\u_logic/_al_u1925_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1898|u_logic/_al_u103 (
.a({open_n109878,\u_logic/Pjyiu6 }),
.b({open_n109879,\u_logic/R9yax6 }),
.c({\u_logic/vis_ipsr_o[1] ,\u_logic/W5ypw6 }),
.d({\u_logic/vis_ipsr_o[0] ,\u_logic/Ztupw6 }),
.f({\u_logic/A9row6_lutinv ,\u_logic/I3fiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19368)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*~A)"),
//.LUT1("(C*~(B*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000000000000),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1900|u_logic/Mz1bx6_reg (
.a({open_n109900,\u_logic/_al_u2351_o }),
.b({\u_logic/K66iu6 ,\u_logic/_al_u2046_o }),
.c({\u_logic/Muhbx6 ,\u_logic/_al_u2426_o }),
.clk(clk_pad),
.d({HWDATA[3],key_interrupt[14]}),
.sr(cpuresetn),
.f({\u_logic/_al_u1900_o ,open_n109914}),
.q({open_n109918,\u_logic/Mz1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19368)
EG_PHY_MSLICE #(
//.LUT0("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUT1("(C*~(B*D))"),
.INIT_LUT0(16'b0011111100001000),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1905|u_logic/_al_u2415 (
.a({open_n109919,\u_logic/_al_u1066_o }),
.b({\u_logic/K66iu6 ,\u_logic/Odfiu6_lutinv }),
.c({\u_logic/Gihbx6 ,\u_logic/_al_u2393_o }),
.d({HWDATA[4],\u_logic/Eghbx6 }),
.f({\u_logic/_al_u1905_o ,\u_logic/_al_u2415_o }));
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1906|pulse_gen_unit/reg0_b3 (
.c({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.clk(clk_pad),
.d({HWDATA[4],HWDATA[18]}),
.mi({open_n109955,\pulse_gen_unit/key_reg_1 [3]}),
.sr(RSTn_pad),
.f({\u_logic/_al_u1906_o ,\u_logic/_al_u2046_o }),
.q({open_n109959,\pulse_gen_unit/key_reg_2 [3]})); // ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~B*~A*~(~D*C))"),
//.LUT1("(~B*~A*~(~D*C))"),
.INIT_LUT0(16'b0001000100000001),
.INIT_LUT1(16'b0001000100000001),
.MODE("LOGIC"))
\u_logic/_al_u1907|u_logic/_al_u2047 (
.a({\u_logic/_al_u1905_o ,\u_logic/_al_u2045_o }),
.b({\u_logic/_al_u1906_o ,\u_logic/_al_u2046_o }),
.c({key_interrupt[0],key_interrupt[14]}),
.d({\u_logic/Eghbx6 ,\u_logic/Mz1bx6 }),
.f({\u_logic/_al_u1907_o ,\u_logic/_al_u2047_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*A)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(D*~C*~B*A)"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000001000000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1908|u_logic/_al_u1886 (
.a({open_n109980,\u_logic/Kkyiu6 }),
.b({\u_logic/vis_ipsr_o[2] ,\u_logic/R9yax6 }),
.c({\u_logic/vis_ipsr_o[3] ,\u_logic/W5ypw6 }),
.d({\u_logic/Rmbpw6 ,\u_logic/Ztupw6 }),
.f({\u_logic/_al_u1908_o ,\u_logic/K66iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1909|u_logic/_al_u3258 (
.a({open_n110005,\u_logic/Yvgiu6 }),
.b({open_n110006,\u_logic/_al_u1942_o }),
.c({\u_logic/Ukbpw6_lutinv ,\u_logic/P0ibx6 }),
.d({\u_logic/_al_u1908_o ,\u_logic/vis_ipsr_o[3] }),
.f({\u_logic/Odfiu6_lutinv ,\u_logic/_al_u3258_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19530)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(C*~(B*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1911|u_logic/Mk3bx6_reg (
.b({\u_logic/K66iu6 ,\u_logic/_al_u1066_o }),
.c({\u_logic/Mk3bx6 ,\u_logic/_al_u1915_o }),
.clk(clk_pad),
.d({HWDATA[5],\u_logic/_al_u1913_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u1911_o ,\u_logic/A4phu6 }),
.q({open_n110049,\u_logic/Mk3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19530)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1912|pulse_gen_unit/reg0_b5 (
.c({\u_logic/Kwfiu6 ,\pulse_gen_unit/key_reg_2 [4]}),
.clk(clk_pad),
.d({HWDATA[5],\filter_unit/n9 [4]}),
.mi({open_n110058,\pulse_gen_unit/key_reg_1 [5]}),
.sr(RSTn_pad),
.f({\u_logic/_al_u1912_o ,key_interrupt[4]}),
.q({open_n110073,\pulse_gen_unit/key_reg_2 [5]})); // ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~B*~A*~(~D*C))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0001000100000001),
.MODE("LOGIC"))
\u_logic/_al_u1913|u_logic/_al_u2104 (
.a({\u_logic/_al_u1911_o ,open_n110074}),
.b({\u_logic/_al_u1912_o ,open_n110075}),
.c({key_interrupt[1],\u_logic/Kwfiu6 }),
.d({\u_logic/Ki3bx6 ,HWDATA[15]}),
.f({\u_logic/_al_u1913_o ,\u_logic/_al_u2104_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19362)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1915|u_logic/Jx1bx6_reg (
.b({open_n110098,\u_logic/_al_u1066_o }),
.c({\u_logic/_al_u1914_o ,\u_logic/Hwhiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/_al_u1908_o ,\u_logic/_al_u2053_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u1915_o ,\u_logic/P1phu6 }),
.q({open_n110115,\u_logic/Jx1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19362)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1917|u_logic/_al_u2037 (
.c({\u_logic/Jp9bx6 ,\u_logic/Kwfiu6 }),
.d({key_interrupt[2],HWDATA[14]}),
.f({\u_logic/_al_u1917_o ,\u_logic/_al_u2037_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0))"),
//.LUT1("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1))"),
.INIT_LUT0(16'b0000000001011111),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1918 (
.a({HWDATA[6],HWDATA[6]}),
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/_al_u1917_o ,\u_logic/_al_u1917_o }),
.mi({open_n110152,\u_logic/Lr9bx6 }),
.fx({open_n110157,\u_logic/_al_u1918_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17600)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1919|u_logic/Oarpw6_reg (
.b({open_n110162,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/A9row6_lutinv ,\u_logic/Wnxax6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1908_o ,\u_logic/_al_u1565_o }),
.f({\u_logic/G9fiu6_lutinv ,open_n110181}),
.q({open_n110185,\u_logic/Oarpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17600)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1922|u_logic/_al_u2040 (
.b({open_n110188,\u_logic/K66iu6 }),
.c({\u_logic/Om3bx6 ,\u_logic/Dt1bx6 }),
.d({key_interrupt[3],HWDATA[17]}),
.f({\u_logic/_al_u1922_o ,\u_logic/_al_u2040_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0))"),
//.LUT1("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1))"),
.INIT_LUT0(16'b0000000001011111),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1923 (
.a({HWDATA[7],HWDATA[7]}),
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/_al_u1922_o ,\u_logic/_al_u1922_o }),
.mi({open_n110221,\u_logic/Qo3bx6 }),
.fx({open_n110226,\u_logic/_al_u1923_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19386)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(~(~0*D)*A))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG0("(~(C*B)*~(~(~1*D)*A))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111100010101),
.INIT_LUTF1(16'b0000100001011111),
.INIT_LUTG0(16'b0001010100010101),
.INIT_LUTG1(16'b0000100001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1927|u_logic/V52bx6_reg (
.a({HWDATA[9],\u_logic/Q2yiu6 }),
.b({\u_logic/K66iu6 ,\u_logic/_al_u1066_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u2050_o }),
.clk(clk_pad),
.d({\u_logic/Rijbx6 ,key_interrupt[15]}),
.e({open_n110230,\u_logic/S32bx6 }),
.sr(cpuresetn),
.f({\u_logic/O86iu6 ,\u_logic/U0phu6 }),
.q({open_n110248,\u_logic/V52bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19386)
// ../rtl/topmodule/cortexm0ds_logic.v(19218)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1928|u_logic/Jj0bx6_reg (
.a({open_n110249,HWDATA[17]}),
.b({open_n110250,\u_logic/O59iu6_lutinv }),
.c({\u_logic/_al_u1914_o ,\u_logic/Jj0bx6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1894_o ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/Eegiu6_lutinv ,open_n110264}),
.q({open_n110268,\u_logic/Jj0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19218)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~D)"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("(~C*B*~D)"),
//.LUTG1("(D*~C*~B*A)"),
.INIT_LUTF0(16'b0000000000001100),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0000000000001100),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1931|u_logic/_al_u73 (
.a({\u_logic/vis_ipsr_o[2] ,open_n110269}),
.b({\u_logic/vis_ipsr_o[4] ,\u_logic/W5ypw6 }),
.c({\u_logic/vis_ipsr_o[3] ,\u_logic/Ztupw6 }),
.d({\u_logic/vis_ipsr_o[5] ,\u_logic/R9yax6 }),
.f({\u_logic/_al_u1931_o ,\u_logic/Xznow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000100001011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1933|u_logic/_al_u4390 (
.a({HWDATA[21],\u_logic/A6gow6 }),
.b({\u_logic/K66iu6 ,\u_logic/Yvgiu6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/M6eiu6 }),
.d({\u_logic/Li2bx6 ,\u_logic/Tyipw6 }),
.e({open_n110296,\u_logic/V0jpw6 }),
.f({\u_logic/_al_u1933_o ,\u_logic/_al_u4390_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20028)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b0000100001011111),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0000100001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1935|u_logic/Y0gbx6_reg (
.a({HWDATA[22],\u_logic/_al_u1935_o }),
.b({\u_logic/K66iu6 ,\u_logic/_al_u1066_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u1931_o }),
.clk(clk_pad),
.d({\u_logic/Y0gbx6 ,\u_logic/A9row6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u1935_o ,\u_logic/Zzohu6 }),
.q({open_n110337,\u_logic/Y0gbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20028)
// ../rtl/topmodule/cortexm0ds_logic.v(17151)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(D*C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0010000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1942|u_logic/Evhpw6_reg (
.a({\u_logic/K5eiu6 ,open_n110338}),
.b({\u_logic/R9yax6 ,open_n110339}),
.c({\u_logic/W5ypw6 ,\u_logic/vis_ipsr_o[5] }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Ztupw6 ,\u_logic/vis_ipsr_o[4] }),
.mi({open_n110351,1'b1}),
.sr(RSTn_pad),
.f({\u_logic/_al_u1942_o ,\u_logic/Rmbpw6 }),
.q({open_n110355,\u_logic/Evhpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17151)
// ../rtl/topmodule/cortexm0ds_logic.v(17157)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*~A)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1943|u_logic/Hwhpw6_reg (
.a({open_n110356,\u_logic/vis_ipsr_o[2] }),
.b({open_n110357,\u_logic/vis_ipsr_o[4] }),
.c({\u_logic/C1wpw6 ,\u_logic/vis_ipsr_o[3] }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u1942_o ,\u_logic/vis_ipsr_o[5] }),
.mi({open_n110369,\u_logic/Evhpw6 }),
.sr(RSTn_pad),
.f({\u_logic/Ch5iu6_lutinv ,\u_logic/_al_u1940_o }),
.q({open_n110373,\u_logic/Hwhpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17157)
// ../rtl/topmodule/cortexm0ds_logic.v(17250)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1944|u_logic/Kojpw6_reg (
.a({HWDATA[26],HWDATA[29]}),
.b({\u_logic/_al_u734_o ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Ch5iu6_lutinv ,\u_logic/Kojpw6 }),
.clk(clk_pad),
.d({\u_logic/Avzax6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/Ag5iu6 ,open_n110387}),
.q({open_n110391,\u_logic/Kojpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17250)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1945 (
.c({open_n110396,\u_logic/vis_ipsr_o[3] }),
.d({open_n110399,\u_logic/vis_ipsr_o[2] }),
.f({open_n110413,\u_logic/Ljbpw6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(19632)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1946|u_logic/Uj4bx6_reg (
.b({\u_logic/_al_u1067_o ,\u_logic/A9row6_lutinv }),
.c({\u_logic/Ljbpw6_lutinv ,\u_logic/Ljbpw6_lutinv }),
.ce(\u_logic/Mpgiu6 ),
.clk(clk_pad),
.d({\u_logic/H9row6_lutinv ,\u_logic/H9row6_lutinv }),
.mi({open_n110431,HWDATA[31]}),
.sr(cpuresetn),
.f({\u_logic/Ajgiu6 ,\u_logic/Rhgiu6 }),
.q({open_n110435,\u_logic/Uj4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19632)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(C*~(B*D))"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1947|u_logic/_al_u1956 (
.b({\u_logic/Ajgiu6 ,\u_logic/Rhgiu6 }),
.c({\u_logic/Zdtpw6 ,\u_logic/Mnmpw6 }),
.d({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.f({\u_logic/_al_u1947_o ,\u_logic/_al_u1956_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20222)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(B*~(D*C)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG0("~(~A*~(B*~(D*C)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010111011101110),
.INIT_LUTF1(16'b0000100001011111),
.INIT_LUTG0(16'b1010111011101110),
.INIT_LUTG1(16'b0000100001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1951|u_logic/T2kbx6_reg (
.a({HWDATA[26],\u_logic/_al_u734_o }),
.b({\u_logic/K66iu6 ,\u_logic/_al_u736_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/Yvgiu6 }),
.clk(clk_pad),
.d({\u_logic/Jz2bx6 ,\u_logic/C1wpw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1951_o ,open_n110475}),
.q({open_n110479,\u_logic/T2kbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20222)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000100001011111),
.INIT_LUTF1(16'b0000100001011111),
.INIT_LUTG0(16'b0000100001011111),
.INIT_LUTG1(16'b0000100001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1953|u_logic/_al_u1958 (
.a({HWDATA[27],HWDATA[28]}),
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/P33bx6 ,\u_logic/V73bx6 }),
.f({\u_logic/_al_u1953_o ,\u_logic/_al_u1958_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000100001011111),
.INIT_LUTF1(16'b0000100001011111),
.INIT_LUTG0(16'b0000100001011111),
.INIT_LUTG1(16'b0000100001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1961|u_logic/_al_u1963 (
.a({HWDATA[30],HWDATA[31]}),
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/Tcipw6 ,\u_logic/Hg3bx6 }),
.f({\u_logic/_al_u1961_o ,\u_logic/_al_u1963_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000100001011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1965|u_logic/_al_u3283 (
.a({HWDATA[29],\u_logic/_al_u3282_o }),
.b({\u_logic/K66iu6 ,\u_logic/Fpgiu6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u1942_o }),
.d({\u_logic/Bc3bx6 ,\u_logic/vis_ipsr_o[2] }),
.e({open_n110530,\u_logic/I2zax6 }),
.f({\u_logic/_al_u1965_o ,\u_logic/_al_u3283_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~B*~(D*A)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~(~B*~(D*A)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1110000011000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1110000011000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1967|u_logic/_al_u1968 (
.a({open_n110551,\u_logic/Lbyhu6 }),
.b({open_n110552,\u_logic/_al_u1967_o }),
.c({\u_logic/Y8lpw6 ,\u_logic/Pyyhu6_lutinv }),
.d({\u_logic/_al_u1420_o ,\u_logic/Krlpw6 }),
.f({\u_logic/_al_u1967_o ,\u_logic/_al_u1968_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~B*~(~D*C)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(~B*~(~D*C)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1000100010101000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000100010101000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1973|u_logic/_al_u2184 (
.a({open_n110577,\u_logic/_al_u2183_o }),
.b({open_n110578,\u_logic/_al_u1089_o }),
.c({\u_logic/_al_u641_o ,\u_logic/Aujpw6 }),
.d({\u_logic/_al_u1062_o ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1973_o ,\u_logic/_al_u2184_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~0*B)*~(~D*A))"),
//.LUT1("(~C*~(~1*B)*~(~D*A))"),
.INIT_LUT0(16'b0000001100000001),
.INIT_LUT1(16'b0000111100000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1975 (
.a({\u_logic/_al_u1672_o ,\u_logic/_al_u1672_o }),
.b({\u_logic/_al_u1973_o ,\u_logic/_al_u1973_o }),
.c({\u_logic/_al_u1974_o ,\u_logic/_al_u1974_o }),
.d({\u_logic/T1vpw6 ,\u_logic/T1vpw6 }),
.mi({open_n110615,\u_logic/Vzupw6 }),
.fx({open_n110620,\u_logic/_al_u1975_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(B*A*~(~D*C))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1000100000001000),
.MODE("LOGIC"))
\u_logic/_al_u1976|u_logic/_al_u1243 (
.a({\u_logic/_al_u1975_o ,open_n110623}),
.b({\u_logic/T1jiu6 ,\u_logic/U9ypw6 }),
.c({\u_logic/Hviiu6 ,\u_logic/Wkipw6 }),
.d({\u_logic/P14qw6 ,\u_logic/R9aiu6 }),
.f({\u_logic/_al_u1976_o ,\u_logic/_al_u1243_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B*A))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(D*~(C*B*A))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0111111100000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0111111100000000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1977|u_logic/_al_u1683 (
.a({open_n110644,\u_logic/Epjiu6 }),
.b({\u_logic/_al_u1681_o ,\u_logic/_al_u1674_o }),
.c({\u_logic/T1vpw6 ,\u_logic/_al_u1682_o }),
.d({\u_logic/_al_u1676_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u1977_o ,\u_logic/n1360 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~A*~(~C*B)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*~(~A*~(~C*B)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1010111000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1010111000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u197|u_logic/_al_u1470 (
.a({open_n110669,\u_logic/_al_u165_o }),
.b({open_n110670,\u_logic/Cc2ju6_lutinv }),
.c({\u_logic/Xxupw6 ,\u_logic/Xxupw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u197_o ,\u_logic/_al_u1470_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*A*~(0*~D))"),
//.LUT1("(~C*~B*A*~(1*~D))"),
.INIT_LUT0(16'b0000001000000010),
.INIT_LUT1(16'b0000001000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1980 (
.a({\u_logic/Ubkiu6 ,\u_logic/Ubkiu6 }),
.b({\u_logic/Ttjiu6_lutinv ,\u_logic/Ttjiu6_lutinv }),
.c({\u_logic/_al_u1979_o ,\u_logic/_al_u1979_o }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/Ae0iu6_lutinv }),
.mi({open_n110707,\u_logic/_al_u391_o }),
.fx({open_n110712,\u_logic/_al_u1980_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*~A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(C*B)*~(D*~A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0010101000111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0010101000111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1981|u_logic/_al_u714 (
.a({open_n110715,\u_logic/_al_u712_o }),
.b({open_n110716,\u_logic/Fb1ju6 }),
.c({\u_logic/_al_u1485_o ,\u_logic/Rwjax6 }),
.d({\u_logic/_al_u1980_o ,\u_logic/S7mpw6 }),
.f({\u_logic/Hm7ow6_lutinv ,\u_logic/_al_u714_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u1982|u_logic/_al_u1498 (
.b({\u_logic/_al_u723_o ,open_n110743}),
.c({\u_logic/Ia8iu6_lutinv ,\u_logic/U9ypw6 }),
.d({\u_logic/_al_u1606_o ,\u_logic/Ia8iu6_lutinv }),
.f({\u_logic/Cn7ow6 ,\u_logic/_al_u1498_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~(C*~B)*~(D*~A))"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~(C*~B)*~(D*~A))"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1000101011001111),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1000101011001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1983|u_logic/_al_u162 (
.a({\u_logic/Hm7ow6_lutinv ,open_n110764}),
.b({\u_logic/Cn7ow6 ,open_n110765}),
.c({\u_logic/Jckax6 ,\u_logic/Ydopw6 }),
.d({\u_logic/W4jax6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1983_o ,\u_logic/_al_u162_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(0*A)*(~(B)*~(C)*~(D)+B*~(C)*~(D)+~(B)*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("(~D*~A*~(C*B))"),
//.LUTG0("(~(1*A)*(~(B)*~(C)*~(D)+B*~(C)*~(D)+~(B)*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("(~D*~A*~(C*B))"),
.INIT_LUTF0(16'b1111000000111111),
.INIT_LUTF1(16'b0000000000010101),
.INIT_LUTG0(16'b0101000000010101),
.INIT_LUTG1(16'b0000000000010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1984|u_logic/_al_u2076 (
.a({\u_logic/_al_u1511_o ,\u_logic/Pthiu6 }),
.b({\u_logic/L45iu6_lutinv ,\u_logic/T1vpw6 }),
.c({\u_logic/Llaow6_lutinv ,\u_logic/Ufopw6 }),
.d({\u_logic/_al_u149_o ,\u_logic/Xxupw6 }),
.e({open_n110792,\u_logic/Yvjpw6 }),
.f({\u_logic/Dk7ow6 ,\u_logic/_al_u2076_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(~(D*~B)*~(C*~A))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(~(D*~B)*~(C*~A))"),
.INIT_LUTF0(16'b1010101110111111),
.INIT_LUTF1(16'b1000110010101111),
.INIT_LUTG0(16'b0010101010101011),
.INIT_LUTG1(16'b1000110010101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1986|u_logic/_al_u1881 (
.a({\u_logic/_al_u1980_o ,\u_logic/_al_u1838_o }),
.b({\u_logic/Cn7ow6 ,\u_logic/Irmpw6 }),
.c({\u_logic/N4kax6 ,\u_logic/S7mpw6 }),
.d({\u_logic/W4jax6 ,\u_logic/Wfspw6 }),
.e({open_n110815,\u_logic/Wkipw6 }),
.f({\u_logic/_al_u1986_o ,\u_logic/_al_u1881_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~C*B)*~(~D*A))"),
//.LUT1("(D*C*~(B*~A))"),
.INIT_LUT0(16'b1111001101010001),
.INIT_LUT1(16'b1011000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1989|u_logic/_al_u1809 (
.a({\u_logic/T23ju6_lutinv ,\u_logic/_al_u147_o }),
.b({\u_logic/_al_u393_o ,\u_logic/_al_u641_o }),
.c({\u_logic/P5vpw6 ,\u_logic/Aujpw6 }),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzupw6 }),
.f({\u_logic/Am7ow6 ,\u_logic/_al_u1809_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~B*~D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*~(~B*~D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b1111000011000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111000011000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1990|u_logic/_al_u389 (
.a({\u_logic/Cbbiu6_lutinv ,open_n110856}),
.b({\u_logic/Am7ow6 ,\u_logic/_al_u388_o }),
.c({\u_logic/F4iax6 ,\u_logic/Xxupw6 }),
.d({\u_logic/Ssjax6 ,\u_logic/D6kiu6_lutinv }),
.f({\u_logic/_al_u1990_o ,\u_logic/_al_u389_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(0*~C)*~(D*~A))"),
//.LUT1("(B*~(1*~C)*~(D*~A))"),
.INIT_LUT0(16'b1000100011001100),
.INIT_LUT1(16'b1000000011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1991 (
.a({\u_logic/Hm7ow6_lutinv ,\u_logic/Hm7ow6_lutinv }),
.b({\u_logic/_al_u1990_o ,\u_logic/_al_u1990_o }),
.c({\u_logic/Cn7ow6 ,\u_logic/Cn7ow6 }),
.d({\u_logic/Jckax6 ,\u_logic/Jckax6 }),
.mi({open_n110893,\u_logic/Jgxpw6 }),
.fx({open_n110898,\u_logic/_al_u1991_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~C*B))"),
//.LUTF1("(C*B*~(D*~A))"),
//.LUTG0("(~D*~(~C*B))"),
//.LUTG1("(C*B*~(D*~A))"),
.INIT_LUTF0(16'b0000000011110011),
.INIT_LUTF1(16'b1000000011000000),
.INIT_LUTG0(16'b0000000011110011),
.INIT_LUTG1(16'b1000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1995|u_logic/_al_u712 (
.a({\u_logic/Ljiiu6 ,open_n110901}),
.b({\u_logic/_al_u1994_o ,\u_logic/_al_u154_o }),
.c({\u_logic/Aaiiu6 ,\u_logic/Wkipw6 }),
.d({\u_logic/Wkipw6 ,\u_logic/Srbow6 }),
.f({\u_logic/_al_u1995_o ,\u_logic/_al_u712_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~B*~(~C*D))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~B*~(~C*D))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0011000000110011),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0011000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1996|u_logic/_al_u151 (
.b({\u_logic/P5vpw6 ,open_n110928}),
.c({\u_logic/T1vpw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/U98iu6 ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u1996_o ,\u_logic/_al_u151_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18671)
EG_PHY_LSLICE #(
//.LUTF0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0111001101000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1|u_logic/Sujax6_reg (
.a({open_n110953,\u_logic/Wz4iu6 }),
.b({open_n110954,\u_logic/n4330 }),
.c({\u_logic/U8jax6 ,HRDATA[25]}),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/Sujax6 }),
.f({\u_logic/n4330 ,open_n110973}),
.q({open_n110977,\u_logic/Sujax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18671)
// ../rtl/topmodule/cortexm0ds_logic.v(18580)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(D*C*B))"),
//.LUT1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110101010101010),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2000|u_logic/Zdiax6_reg (
.a({open_n110978,\u_logic/_al_u163_o }),
.b({open_n110979,\u_logic/_al_u164_o }),
.c({\u_logic/Hirpw6 ,\u_logic/_al_u165_o }),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({\u_logic/_al_u651_o ,\u_logic/T1vpw6 }),
.sr(cpuresetn),
.f({\u_logic/Kr7ow6_lutinv ,open_n110992}),
.q({open_n110996,\u_logic/Zdiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18580)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~A*~(~D*C*B))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~A*~(~D*C*B))"),
.INIT_LUTF0(16'b0111001100001111),
.INIT_LUTF1(16'b0101010100010101),
.INIT_LUTG0(16'b0111111100001111),
.INIT_LUTG1(16'b0101010100010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2001|u_logic/_al_u3986 (
.a({\u_logic/_al_u1999_o ,\u_logic/Eoyiu6_lutinv }),
.b({\u_logic/L45iu6_lutinv ,\u_logic/Cc2ju6_lutinv }),
.c({\u_logic/Kr7ow6_lutinv ,\u_logic/Hirpw6 }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/R3vpw6 }),
.e({open_n110999,\u_logic/Vygax6 }),
.f({\u_logic/_al_u2001_o ,\u_logic/_al_u3986_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2004|u_logic/_al_u2452 (
.a({\u_logic/_al_u2001_o ,open_n111020}),
.b({\u_logic/_al_u2002_o ,open_n111021}),
.c({\u_logic/Ujjiu6 ,\u_logic/Iuaow6_lutinv }),
.d({\u_logic/_al_u2003_o ,\u_logic/Nu9ow6 }),
.f({\u_logic/_al_u2004_o ,\u_logic/_al_u2452_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*D*C*B*A)"),
//.LUT1("(~1*D*C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2007 (
.a({\u_logic/_al_u1606_o ,\u_logic/_al_u1606_o }),
.b({\u_logic/L88iu6_lutinv ,\u_logic/L88iu6_lutinv }),
.c({\u_logic/_al_u1225_o ,\u_logic/_al_u1225_o }),
.d({\u_logic/_al_u1226_o ,\u_logic/_al_u1226_o }),
.mi({open_n111058,\u_logic/Jckax6 }),
.fx({open_n111063,\u_logic/_al_u2007_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2008|u_logic/_al_u1603 (
.a({\u_logic/_al_u1485_o ,open_n111066}),
.b({\u_logic/Cwiiu6 ,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/Ak0ju6 ,\u_logic/Nbkiu6_lutinv }),
.d({\u_logic/Aujiu6 ,\u_logic/Dmiiu6 }),
.f({\u_logic/_al_u2008_o ,\u_logic/_al_u1603_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*~(~C*~B*~A))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111111000000000),
.MODE("LOGIC"))
\u_logic/_al_u2009|u_logic/_al_u708 (
.a({\u_logic/_al_u2007_o ,open_n111087}),
.b({\u_logic/_al_u2008_o ,open_n111088}),
.c({\u_logic/_al_u1603_o ,\u_logic/Ssjax6 }),
.d({\u_logic/Uyiiu6 ,\u_logic/Rwjax6 }),
.f({\u_logic/_al_u2009_o ,\u_logic/Qxoiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u200|u_logic/_al_u350 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Dc0iu6 ,\u_logic/F60iu6 }),
.f({\u_logic/Mifpw6 [0],\u_logic/Mifpw6 [2]}));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(A*~(C*~(0*D))))"),
//.LUT1("(~B*~(A*~(C*~(1*D))))"),
.INIT_LUT0(16'b0011000100110001),
.INIT_LUT1(16'b0001000100110001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2011 (
.a({\u_logic/_al_u1967_o ,\u_logic/_al_u1967_o }),
.b({\u_logic/_al_u1432_o ,\u_logic/_al_u1432_o }),
.c({\u_logic/Pyyhu6_lutinv ,\u_logic/Pyyhu6_lutinv }),
.d({\u_logic/Krlpw6 ,\u_logic/Krlpw6 }),
.mi({open_n111145,\u_logic/Zslpw6 }),
.fx({open_n111150,\u_logic/Q2zhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b1110010000001111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u2013|u_logic/_al_u1710 (
.a({\u_logic/Q2zhu6 ,\u_logic/Bclpw6 }),
.b({\u_logic/J9zhu6 ,\u_logic/Jflpw6 }),
.c({\u_logic/L3zhu6_lutinv ,\u_logic/Kalpw6 }),
.d({\u_logic/_al_u1418_o ,\u_logic/Sdlpw6 }),
.f({\u_logic/_al_u2013_o ,\u_logic/Ncyhu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("~(~C*B*(D@(0*A)))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("~(~C*B*(D@(1*A)))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b1111001111111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1111101111110111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2015|u_logic/_al_u135 (
.a({\u_logic/Lbyhu6 ,\u_logic/_al_u133_o }),
.b({\u_logic/V8zhu6_lutinv ,\u_logic/U5yhu6 }),
.c({\u_logic/F7zhu6 ,\u_logic/Jflpw6 }),
.d({\u_logic/Epyhu6 ,\u_logic/Kalpw6 }),
.e({open_n111175,\u_logic/Yklpw6 }),
.f({\u_logic/R6zhu6 ,\u_logic/U03iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19302)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(~(~0*D)*A))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG0("(~(C*B)*~(~(~1*D)*A))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111100010101),
.INIT_LUTF1(16'b0000100001011111),
.INIT_LUTG0(16'b0001010100010101),
.INIT_LUTG1(16'b0000100001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2019|u_logic/Fc1bx6_reg (
.a({HWDATA[10],\u_logic/Qoxiu6 }),
.b({\u_logic/K66iu6 ,\u_logic/_al_u1066_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/Hcgiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Fc1bx6 ,key_interrupt[6]}),
.e({open_n111197,\u_logic/Ca1bx6 }),
.sr(cpuresetn),
.f({\u_logic/Qoxiu6 ,\u_logic/F3phu6 }),
.q({open_n111215,\u_logic/Fc1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19302)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2020|u_logic/_al_u3235 (
.a({open_n111216,\u_logic/F0eow6 }),
.b({open_n111217,\u_logic/M0eow6 }),
.c({\u_logic/A9row6_lutinv ,\u_logic/Czzax6 }),
.d({\u_logic/_al_u1894_o ,\u_logic/Mk3bx6 }),
.f({\u_logic/Hcgiu6_lutinv ,\u_logic/_al_u3235_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19314)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(~0*~B*~A))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(~(D*C)*~(~1*~B*~A))"),
//.LUTG1("(C*~(B*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111011101110),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2022|u_logic/Lg1bx6_reg (
.a({open_n111242,\u_logic/_al_u2022_o }),
.b({\u_logic/K66iu6 ,\u_logic/_al_u2023_o }),
.c({\u_logic/Lg1bx6 ,\u_logic/_al_u1066_o }),
.clk(clk_pad),
.d({HWDATA[11],\u_logic/Dagiu6_lutinv }),
.e({open_n111244,\u_logic/_al_u2025_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2022_o ,\u_logic/Y2phu6 }),
.q({open_n111262,\u_logic/Lg1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19314)
// ../rtl/topmodule/cortexm0ds_logic.v(19524)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~B*~D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2023|u_logic/Ki3bx6_reg (
.b({open_n111265,\u_logic/_al_u1912_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u2417_o }),
.clk(clk_pad),
.d({HWDATA[11],\u_logic/_al_u2351_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2023_o ,open_n111283}),
.q({open_n111287,\u_logic/Ki3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19524)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2024|u_logic/_al_u2050 (
.c({\u_logic/_al_u1067_o ,\u_logic/_al_u1067_o }),
.d({\u_logic/_al_u1894_o ,\u_logic/_al_u2042_o }),
.f({\u_logic/Dagiu6_lutinv ,\u_logic/_al_u2050_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~D*C)*~(B*A))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0111011100000111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2025|u_logic/_al_u2027 (
.a({open_n111312,HWDATA[12]}),
.b({open_n111313,\u_logic/Kwfiu6 }),
.c({\u_logic/Ie1bx6 ,key_interrupt[8]}),
.d({key_interrupt[7],\u_logic/W51bx6 }),
.f({\u_logic/_al_u2025_o ,\u_logic/_al_u2027_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0000100001011111),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\u_logic/_al_u2029|u_logic/_al_u1949 (
.a({\u_logic/vis_ipsr_o[2] ,HWDATA[25]}),
.b({\u_logic/vis_ipsr_o[4] ,\u_logic/K66iu6 }),
.c({\u_logic/vis_ipsr_o[3] ,\u_logic/Kwfiu6 }),
.d({\u_logic/vis_ipsr_o[5] ,\u_logic/Rm2bx6 }),
.f({\u_logic/_al_u2029_o ,\u_logic/_al_u1949_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000100001011111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000100001011111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2030|u_logic/_al_u1930 (
.a({open_n111354,HWDATA[20]}),
.b({open_n111355,\u_logic/K66iu6 }),
.c({\u_logic/Ukbpw6_lutinv ,\u_logic/Kwfiu6 }),
.d({\u_logic/_al_u2029_o ,\u_logic/Fe2bx6 }),
.f({\u_logic/_al_u2030_o ,\u_logic/_al_u1930_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19308)
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000110000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2032|u_logic/Ie1bx6_reg (
.b({open_n111382,\u_logic/_al_u2023_o }),
.c({\u_logic/Oi1bx6 ,\u_logic/_al_u2402_o }),
.clk(clk_pad),
.d({key_interrupt[9],\u_logic/_al_u2351_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2032_o ,open_n111396}),
.q({open_n111400,\u_logic/Ie1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19308)
EG_PHY_MSLICE #(
//.LUT0("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0))"),
//.LUT1("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1))"),
.INIT_LUT0(16'b0000000001011111),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2033 (
.a({HWDATA[13],HWDATA[13]}),
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/_al_u2032_o ,\u_logic/_al_u2032_o }),
.mi({open_n111413,\u_logic/Rk1bx6 }),
.fx({open_n111418,\u_logic/_al_u2033_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19188)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2034|u_logic/E90bx6_reg (
.a({open_n111421,HWDATA[12]}),
.b({open_n111422,\u_logic/O59iu6_lutinv }),
.c({\u_logic/_al_u1914_o ,\u_logic/E90bx6 }),
.clk(clk_pad),
.d({\u_logic/_al_u2029_o ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2034_o ,open_n111440}),
.q({open_n111444,\u_logic/E90bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19188)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B*A))"),
//.LUT1("(C*~(B*D))"),
.INIT_LUT0(16'b0000000001111111),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"))
\u_logic/_al_u2036|u_logic/_al_u2408 (
.a({open_n111445,\u_logic/_al_u1066_o }),
.b({\u_logic/K66iu6 ,\u_logic/_al_u2029_o }),
.c({\u_logic/Xo1bx6 ,\u_logic/A9row6_lutinv }),
.d({HWDATA[14],\u_logic/Um1bx6 }),
.f({\u_logic/_al_u2036_o ,\u_logic/_al_u2408_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19332)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*~A)"),
//.LUTF1("(~B*~A*~(~D*C))"),
//.LUTG0("(D*~C*~B*~A)"),
//.LUTG1("(~B*~A*~(~D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTF1(16'b0001000100000001),
.INIT_LUTG0(16'b0000000100000000),
.INIT_LUTG1(16'b0001000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2038|u_logic/Um1bx6_reg (
.a({\u_logic/_al_u2036_o ,\u_logic/_al_u2351_o }),
.b({\u_logic/_al_u2037_o ,\u_logic/_al_u2037_o }),
.c({key_interrupt[10],\u_logic/_al_u2408_o }),
.clk(clk_pad),
.d({\u_logic/Um1bx6 ,\u_logic/_al_u2409_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2038_o ,open_n111483}),
.q({open_n111487,\u_logic/Um1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19332)
// ../rtl/topmodule/cortexm0ds_logic.v(19356)
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(D*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~A*~(D*B))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2041|u_logic/Gv1bx6_reg (
.a({open_n111488,\u_logic/_al_u2351_o }),
.b({open_n111489,HWDATA[16]}),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u2394_o }),
.clk(clk_pad),
.d({HWDATA[17],\u_logic/Kwfiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2041_o ,open_n111507}),
.q({open_n111511,\u_logic/Gv1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19356)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*~C*~B*~A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*~C*~B*~A)"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000000100000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2042|u_logic/_al_u3052 (
.a({\u_logic/vis_ipsr_o[2] ,\u_logic/F0eow6 }),
.b({\u_logic/vis_ipsr_o[4] ,\u_logic/M0eow6 }),
.c({\u_logic/vis_ipsr_o[3] ,\u_logic/Jz2bx6 }),
.d({\u_logic/vis_ipsr_o[5] ,\u_logic/X5upw6 }),
.f({\u_logic/_al_u2042_o ,\u_logic/_al_u3052_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUT1("(C*~(B*D))"),
.INIT_LUT0(16'b0000100001011111),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"))
\u_logic/_al_u2045|u_logic/_al_u1888 (
.a({open_n111536,HWDATA[0]}),
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({\u_logic/P12bx6 ,\u_logic/Kwfiu6 }),
.d({HWDATA[18],\u_logic/U31bx6 }),
.f({\u_logic/_al_u2045_o ,\u_logic/Sbxiu6 }));
// ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u204|ethernet_i0/util_gmii_to_rgmii_m0/gmii_rx_dv_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/U9now6_lutinv }),
.b({\u_logic/Panow6_lutinv ,\u_logic/V6now6_lutinv }),
.c({\u_logic/vis_r3_o[12] ,\u_logic/vis_r0_o[12] }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\u_logic/vis_r6_o[12] ,\u_logic/vis_r2_o[12] }),
.mi({open_n111561,\ethernet_i0/util_gmii_to_rgmii_m0/gmii_rx_dv_s }),
.f({\u_logic/_al_u204_o ,\u_logic/_al_u203_o }),
.q({open_n111577,\ethernet_i0/gmii_rx_dv })); // ../rtl/Ethernet/sources_1/imports/src/util_gmii_to_rgmii.v(79)
// ../rtl/topmodule/cortexm0ds_logic.v(19320)
EG_PHY_MSLICE #(
//.LUT0("(C*~A*~(D*B))"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000001010000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2052|u_logic/Oi1bx6_reg (
.a({open_n111578,\u_logic/_al_u2351_o }),
.b({open_n111579,HWDATA[13]}),
.c({\u_logic/Gv1bx6 ,\u_logic/_al_u2406_o }),
.clk(clk_pad),
.d({key_interrupt[12],\u_logic/Kwfiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2052_o ,open_n111593}),
.q({open_n111597,\u_logic/Oi1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19320)
// ../rtl/topmodule/cortexm0ds_logic.v(20126)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2054|u_logic/Imhbx6_reg (
.a({open_n111598,\u_logic/Dzdow6 }),
.b({open_n111599,\u_logic/Yvgiu6 }),
.c({\u_logic/Ukbpw6_lutinv ,\u_logic/M6eiu6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/_al_u2042_o ,\u_logic/Rq0qw6 }),
.e({open_n111600,\u_logic/Ss0qw6 }),
.mi({open_n111602,HWDATA[4]}),
.f({\u_logic/Hwhiu6_lutinv ,\u_logic/W4siu6 }),
.q({open_n111618,\u_logic/Imhbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20126)
EG_PHY_MSLICE #(
//.LUT0("(~0*D*~(~A*~(C*B)))"),
//.LUT1("(~1*D*~(~A*~(C*B)))"),
.INIT_LUT0(16'b1110101000000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2056 (
.a({\u_logic/_al_u1486_o ,\u_logic/_al_u1486_o }),
.b({\u_logic/Ia8iu6_lutinv ,\u_logic/Ia8iu6_lutinv }),
.c({\u_logic/_al_u397_o ,\u_logic/_al_u397_o }),
.d({\u_logic/Ssjax6 ,\u_logic/Ssjax6 }),
.mi({open_n111631,\u_logic/U9ypw6 }),
.fx({open_n111636,\u_logic/_al_u2056_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~C*B*~(D*~A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(1*~C*B*~(D*~A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000100000001100),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2057|u_logic/_al_u2742 (
.a({open_n111639,\u_logic/_al_u2740_o }),
.b({\u_logic/Am7ow6 ,\u_logic/_al_u2741_o }),
.c({\u_logic/G2iax6 ,\u_logic/_al_u389_o }),
.d({\u_logic/Kubow6 ,\u_logic/_al_u164_o }),
.e({open_n111642,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u2057_o ,\u_logic/_al_u2742_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2061|u_logic/_al_u2354 (
.c({\u_logic/Rwjax6 ,\u_logic/Ssjax6 }),
.d({\u_logic/_al_u1605_o ,\u_logic/_al_u1605_o }),
.f({\u_logic/_al_u2061_o ,\u_logic/_al_u2354_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(D*C)*~(0*~A))"),
//.LUT1("(~B*~(D*C)*~(1*~A))"),
.INIT_LUT0(16'b0000001100110011),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2062 (
.a({\u_logic/_al_u1599_o ,\u_logic/_al_u1599_o }),
.b({\u_logic/_al_u2061_o ,\u_logic/_al_u2061_o }),
.c({\u_logic/_al_u1606_o ,\u_logic/_al_u1606_o }),
.d({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.mi({open_n111703,\u_logic/S7mpw6 }),
.fx({open_n111708,\u_logic/_al_u2062_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*B*A))"),
//.LUTF1("(~A*~(~D*C*B))"),
//.LUTG0("(~C*~(D*B*A))"),
//.LUTG1("(~A*~(~D*C*B))"),
.INIT_LUTF0(16'b0000011100001111),
.INIT_LUTF1(16'b0101010100010101),
.INIT_LUTG0(16'b0000011100001111),
.INIT_LUTG1(16'b0101010100010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2066|u_logic/_al_u2072 (
.a({\u_logic/_al_u2065_o ,\u_logic/Llaow6_lutinv }),
.b({\u_logic/_al_u413_o ,\u_logic/Ldoiu6_lutinv }),
.c({\u_logic/_al_u686_o ,\u_logic/_al_u670_o }),
.d({\u_logic/P5vpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/Sdoiu6 ,\u_logic/_al_u2072_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*~C*~B))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~A*~(D*~C*~B))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0101010001010101),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0101010001010101),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2067|u_logic/_al_u667 (
.a({open_n111735,\u_logic/_al_u665_o }),
.b({open_n111736,\u_logic/Md0iu6_lutinv }),
.c({\u_logic/_al_u975_o ,\u_logic/Cc2ju6_lutinv }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u2067_o ,\u_logic/_al_u667_o }));
EG_PHY_MSLICE #(
//.LUT0("~(A*~((C*B))*~(D)+A*(C*B)*~(D)+~(A)*(C*B)*D+A*(C*B)*D)"),
//.LUT1("(~D*A*~(C*B))"),
.INIT_LUT0(16'b0011111101010101),
.INIT_LUT1(16'b0000000000101010),
.MODE("LOGIC"))
\u_logic/_al_u2068|u_logic/_al_u1464 (
.a({\u_logic/Sdoiu6 ,\u_logic/_al_u1095_o }),
.b({\u_logic/_al_u2067_o ,\u_logic/_al_u1462_o }),
.c({\u_logic/_al_u1098_o ,\u_logic/Aujpw6 }),
.d({\u_logic/Hirpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u2068_o ,\u_logic/_al_u1464_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u206|u_logic/_al_u386 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Ib0iu6 ,\u_logic/Pb0iu6 }),
.f(\u_logic/Mifpw6 [12:11]));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~D*C*A))"),
//.LUT1("(A*~(D*C*B))"),
.INIT_LUT0(16'b1100110001001100),
.INIT_LUT1(16'b0010101010101010),
.MODE("LOGIC"))
\u_logic/_al_u2071|u_logic/_al_u1717 (
.a({\u_logic/_al_u2070_o ,\u_logic/_al_u1714_o }),
.b({\u_logic/Vo3ju6_lutinv ,\u_logic/Pvuow6_lutinv }),
.c({\u_logic/_al_u1149_o ,\u_logic/_al_u147_o }),
.d({\u_logic/_al_u686_o ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u2071_o ,\u_logic/Yoniu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~A*~(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0)))"),
//.LUTF1("(~A*~(B*~(D*~C)))"),
//.LUTG0("(D*~(~A*~(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1)))"),
//.LUTG1("(~A*~(B*~(D*~C)))"),
.INIT_LUTF0(16'b1111101000000000),
.INIT_LUTF1(16'b0001010100010001),
.INIT_LUTG0(16'b1110111000000000),
.INIT_LUTG1(16'b0001010100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2073|u_logic/_al_u1728 (
.a({\u_logic/_al_u2068_o ,\u_logic/_al_u1727_o }),
.b({\u_logic/_al_u2071_o ,\u_logic/_al_u160_o }),
.c({\u_logic/_al_u2072_o ,\u_logic/_al_u1147_o }),
.d({\u_logic/_al_u1089_o ,\u_logic/Ydopw6 }),
.e({open_n111827,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2073_o ,\u_logic/_al_u1728_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(A*~(C)*~(D)+A*C*~(D)+~(A)*C*D+A*C*D))"),
//.LUTF1("(~A*~(D*C*B))"),
//.LUTG0("(~B*~(A*~(C)*~(D)+A*C*~(D)+~(A)*C*D+A*C*D))"),
//.LUTG1("(~A*~(D*C*B))"),
.INIT_LUTF0(16'b0000001100010001),
.INIT_LUTF1(16'b0001010101010101),
.INIT_LUTG0(16'b0000001100010001),
.INIT_LUTG1(16'b0001010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2075|u_logic/_al_u2679 (
.a({\u_logic/_al_u2074_o ,\u_logic/_al_u641_o }),
.b({\u_logic/_al_u1089_o ,\u_logic/Nkaju6_lutinv }),
.c({\u_logic/_al_u151_o ,\u_logic/Yi7ju6_lutinv }),
.d({\u_logic/_al_u388_o ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u2075_o ,\u_logic/_al_u2679_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0000101000100010),
.MODE("LOGIC"))
\u_logic/_al_u2077|u_logic/_al_u2085 (
.a({\u_logic/_al_u2076_o ,\u_logic/_al_u151_o }),
.b({\u_logic/_al_u160_o ,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/_al_u672_o ,\u_logic/Vzupw6 }),
.d({\u_logic/Xxupw6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u2077_o ,\u_logic/_al_u2085_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~B*D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2078|u_logic/_al_u1586 (
.b({\u_logic/Ae0iu6_lutinv ,open_n111894}),
.c({\u_logic/_al_u1147_o ,\u_logic/Xxupw6 }),
.d({\u_logic/_al_u149_o ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u2078_o ,\u_logic/_al_u1586_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~C*A*~(D*~B))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~C*A*~(D*~B))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000100000001010),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000100000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2079|u_logic/_al_u2083 (
.a({\u_logic/_al_u2075_o ,open_n111915}),
.b({\u_logic/_al_u2077_o ,\u_logic/_al_u1089_o }),
.c({\u_logic/_al_u2078_o ,\u_logic/_al_u393_o }),
.d({\u_logic/_al_u1612_o ,\u_logic/_al_u124_o }),
.f({\u_logic/_al_u2079_o ,\u_logic/_al_u2083_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(0*~(~D*~C)))"),
//.LUTF1("(C*B*~(D*~A))"),
//.LUTG0("(~B*~A*~(1*~(~D*~C)))"),
//.LUTG1("(C*B*~(D*~A))"),
.INIT_LUTF0(16'b0001000100010001),
.INIT_LUTF1(16'b1000000011000000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b1000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2080|u_logic/_al_u1729 (
.a({\u_logic/_al_u1095_o ,\u_logic/_al_u1728_o }),
.b({\u_logic/Qyniu6_lutinv ,\u_logic/Iugiu6 }),
.c({\u_logic/Vzupw6 ,\u_logic/Yecpw6_lutinv }),
.d({\u_logic/Yvjpw6 ,\u_logic/_al_u413_o }),
.e({open_n111942,\u_logic/_al_u1586_o }),
.f({\u_logic/_al_u2080_o ,\u_logic/_al_u1729_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(0*D*C))"),
//.LUT1("(B*~A*~(1*D*C))"),
.INIT_LUT0(16'b0100010001000100),
.INIT_LUT1(16'b0000010001000100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2082 (
.a({\u_logic/_al_u2080_o ,\u_logic/_al_u2080_o }),
.b({\u_logic/Rvniu6 ,\u_logic/Rvniu6 }),
.c({\u_logic/Pthiu6 ,\u_logic/Pthiu6 }),
.d({\u_logic/P5vpw6 ,\u_logic/P5vpw6 }),
.mi({open_n111975,\u_logic/T1vpw6 }),
.fx({open_n111980,\u_logic/_al_u2082_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*B*A)"),
//.LUT1("(~1*~D*~C*B*A)"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2086 (
.a({\u_logic/_al_u2079_o ,\u_logic/_al_u2079_o }),
.b({\u_logic/_al_u2082_o ,\u_logic/_al_u2082_o }),
.c({\u_logic/_al_u2083_o ,\u_logic/_al_u2083_o }),
.d({\u_logic/_al_u2084_o ,\u_logic/_al_u2084_o }),
.mi({open_n111995,\u_logic/_al_u2085_o }),
.fx({open_n112000,\u_logic/_al_u2086_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2087|u_logic/_al_u2074 (
.b({open_n112005,\u_logic/_al_u1721_o }),
.c({\u_logic/Xxupw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/_al_u124_o ,\u_logic/D31ju6 }),
.f({\u_logic/Z3dpw6_lutinv ,\u_logic/_al_u2074_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2088 (
.a({open_n112030,\u_logic/_al_u1727_o }),
.b({open_n112031,\u_logic/Z3dpw6_lutinv }),
.c({open_n112032,\u_logic/Yecpw6_lutinv }),
.d({open_n112035,\u_logic/Edapw6_lutinv }),
.f({open_n112053,\u_logic/_al_u2088_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(A*~(D*C*B))"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0010101010101010),
.MODE("LOGIC"))
\u_logic/_al_u2089|u_logic/_al_u2122 (
.a({\u_logic/_al_u2088_o ,open_n112059}),
.b({\u_logic/_al_u961_o ,\u_logic/_al_u672_o }),
.c({\u_logic/_al_u160_o ,\u_logic/Yljiu6 }),
.d({\u_logic/_al_u161_o ,\u_logic/_al_u149_o }),
.f({\u_logic/_al_u2089_o ,\u_logic/_al_u2122_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2091|u_logic/_al_u149 (
.c({\u_logic/_al_u1094_o ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u2090_o ,\u_logic/P5vpw6 }),
.f({\u_logic/Crniu6 ,\u_logic/_al_u149_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~B*A*~(D*C))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"))
\u_logic/_al_u2092|u_logic/_al_u649 (
.a({\u_logic/Crniu6 ,open_n112108}),
.b({\u_logic/Fq8iu6 ,open_n112109}),
.c({\u_logic/_al_u1512_o ,\u_logic/Xxupw6 }),
.d({\u_logic/T23ju6_lutinv ,\u_logic/Aujpw6 }),
.f({\u_logic/Umniu6 ,\u_logic/T23ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*~B)*~(C*~A))"),
//.LUT1("(~(C*~B)*~(D*~A))"),
.INIT_LUT0(16'b1000110010101111),
.INIT_LUT1(16'b1000101011001111),
.MODE("LOGIC"))
\u_logic/_al_u2093|u_logic/_al_u2099 (
.a({\u_logic/Yoniu6 ,\u_logic/Mpniu6 }),
.b({\u_logic/_al_u1729_o ,\u_logic/Yoniu6 }),
.c({\u_logic/Rkkax6 ,\u_logic/Shopw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vhspw6 }),
.f({\u_logic/Esniu6 ,\u_logic/_al_u2099_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(B*A*~(D*~C))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1000000010001000),
.MODE("LOGIC"))
\u_logic/_al_u2094|u_logic/_al_u669 (
.a({\u_logic/Umniu6 ,open_n112150}),
.b({\u_logic/Esniu6 ,\u_logic/Shopw6 }),
.c({\u_logic/Mpniu6 ,\u_logic/Wxjpw6 }),
.d({\u_logic/M6kax6 ,\u_logic/Fb9pw6_lutinv }),
.f({\u_logic/_al_u2094_o ,\u_logic/_al_u669_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~C)*~(0*~B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(D*~C)*~(1*~B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1010000010101010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000000010001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2095|u_logic/_al_u1730 (
.a({open_n112171,\u_logic/_al_u1718_o }),
.b({open_n112172,\u_logic/Mpniu6 }),
.c({\u_logic/_al_u1730_o ,\u_logic/_al_u1729_o }),
.d({\u_logic/_al_u2090_o ,\u_logic/Lgkax6 }),
.e({open_n112175,\u_logic/Wxjpw6 }),
.f({\u_logic/Qkniu6_lutinv ,\u_logic/_al_u1730_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u2096|u_logic/_al_u2265 (
.c({\u_logic/Qkniu6_lutinv ,\u_logic/Qkniu6_lutinv }),
.d({\u_logic/_al_u2094_o ,\u_logic/_al_u2094_o }),
.f({\u_logic/Dhniu6_lutinv ,\u_logic/Rhniu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*~C))"),
//.LUTG0("(B*A*~(D*~C))"),
.INIT_LUTF0(16'b1000000010001000),
.INIT_LUTG0(16'b1000000010001000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2098 (
.a({open_n112220,\u_logic/Umniu6 }),
.b({open_n112221,\u_logic/_al_u2097_o }),
.c({open_n112222,\u_logic/Mpniu6 }),
.d({open_n112225,\u_logic/Dzvpw6 }),
.f({open_n112243,\u_logic/_al_u2098_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*~B)*~(C*~A))"),
//.LUTF1("(B*A*~(D*~C))"),
//.LUTG0("(~(D*~B)*~(C*~A))"),
//.LUTG1("(B*A*~(D*~C))"),
.INIT_LUTF0(16'b1000110010101111),
.INIT_LUTF1(16'b1000000010001000),
.INIT_LUTG0(16'b1000110010101111),
.INIT_LUTG1(16'b1000000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2100|u_logic/_al_u2097 (
.a({\u_logic/Crniu6 ,\u_logic/Yoniu6 }),
.b({\u_logic/_al_u2099_o ,\u_logic/_al_u1729_o }),
.c({\u_logic/_al_u1729_o ,\u_logic/Htmpw6 }),
.d({\u_logic/Iekax6 ,\u_logic/Oikax6 }),
.f({\u_logic/_al_u2100_o ,\u_logic/_al_u2097_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2101|u_logic/_al_u2272 (
.c({\u_logic/_al_u2100_o ,\u_logic/_al_u2100_o }),
.d({\u_logic/_al_u2098_o ,\u_logic/_al_u2098_o }),
.f({\u_logic/Ckniu6 ,\u_logic/Khniu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18806)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2102|u_logic/F3pax6_reg (
.a({open_n112301,\u_logic/_al_u430_o }),
.b({open_n112302,\u_logic/_al_u431_o }),
.c({\u_logic/Ckniu6 ,\u_logic/_al_u432_o }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/Dhniu6_lutinv ,\u_logic/_al_u433_o }),
.mi({open_n112313,\u_logic/Uoliu6 }),
.f({\u_logic/Etmiu6 ,\u_logic/Pxzhu6 }),
.q({open_n112318,\u_logic/vis_r6_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(18806)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~(C*B)))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(A*~(D*~(C*B)))"),
//.LUTG1("(C*~(B*D))"),
.INIT_LUTF0(16'b1000000010101010),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b1000000010101010),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2103|u_logic/_al_u2028 (
.a({open_n112319,\u_logic/_al_u2027_o }),
.b({\u_logic/K66iu6 ,HWDATA[12]}),
.c({\u_logic/Yxrpw6 ,\u_logic/K66iu6 }),
.d({HWDATA[15],\u_logic/Z71bx6 }),
.f({\u_logic/_al_u2103_o ,\u_logic/_al_u2028_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2106|u_logic/_al_u3187 (
.a({open_n112344,\u_logic/F0eow6 }),
.b({open_n112345,\u_logic/M0eow6 }),
.c({\u_logic/_al_u1067_o ,\u_logic/C30bx6 }),
.d({\u_logic/_al_u2029_o ,\u_logic/Us3bx6 }),
.f({\u_logic/_al_u2106_o ,\u_logic/Dzdow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~D*C*B*A)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2108|u_logic/_al_u1814 (
.a({\u_logic/Z4jiu6_lutinv ,open_n112366}),
.b({\u_logic/Htyiu6 ,\u_logic/Dxvpw6 }),
.c({\u_logic/_al_u1226_o ,\u_logic/Sojax6 }),
.d({\u_logic/P0kax6 ,\u_logic/_al_u1813_o }),
.f({\u_logic/_al_u2108_o ,\u_logic/_al_u1814_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17744)
EG_PHY_MSLICE #(
//.LUT0("(~(~D*~C)*~(B*A))"),
//.LUT1("(~C*~(~B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111011101110000),
.INIT_LUT1(16'b0000111100001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2109|u_logic/T1vpw6_reg (
.a({open_n112391,\u_logic/_al_u1818_o }),
.b({\u_logic/_al_u1822_o ,\u_logic/_al_u1829_o }),
.c({\u_logic/Sojax6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2108_o ,\u_logic/T1vpw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2109_o ,open_n112405}),
.q({open_n112409,\u_logic/T1vpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17744)
EG_PHY_MSLICE #(
//.LUT0("(C*~(~(D*B)*~(0*A)))"),
//.LUT1("(C*~(~(D*B)*~(1*A)))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1110000010100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2117 (
.a({\u_logic/_al_u1781_o ,\u_logic/_al_u1781_o }),
.b({\u_logic/Qe8iu6_lutinv ,\u_logic/Qe8iu6_lutinv }),
.c({\u_logic/_al_u124_o ,\u_logic/_al_u124_o }),
.d({\u_logic/_al_u1462_o ,\u_logic/_al_u1462_o }),
.mi({open_n112422,\u_logic/Jf6ju6 }),
.fx({open_n112427,\u_logic/_al_u2117_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~0*C)*~(~D*B))"),
//.LUT1("(~A*~(~1*C)*~(~D*B))"),
.INIT_LUT0(16'b0000010100000001),
.INIT_LUT1(16'b0101010100010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2118 (
.a({\u_logic/_al_u2117_o ,\u_logic/_al_u2117_o }),
.b({\u_logic/_al_u2108_o ,\u_logic/_al_u2108_o }),
.c({\u_logic/_al_u1822_o ,\u_logic/_al_u1822_o }),
.d({\u_logic/_al_u1225_o ,\u_logic/_al_u1225_o }),
.mi({open_n112442,\u_logic/Skjax6 }),
.fx({open_n112447,\u_logic/_al_u2118_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~A*~(~C*~B))"),
//.LUT1("(C*B*~D)"),
.INIT_LUT0(16'b0000000001010100),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"))
\u_logic/_al_u2120|u_logic/_al_u2119 (
.a({open_n112450,\u_logic/_al_u1676_o }),
.b({\u_logic/_al_u1681_o ,\u_logic/Mmjiu6_lutinv }),
.c({\u_logic/_al_u1089_o ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/_al_u2119_o ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u2120_o ,\u_logic/_al_u2119_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2124|u_logic/_al_u2126 (
.b({\u_logic/D31ju6 ,\u_logic/Edapw6_lutinv }),
.c({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/_al_u688_o ,\u_logic/_al_u162_o }),
.f({\u_logic/_al_u2124_o ,\u_logic/_al_u2126_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*A*~(~0*D))"),
//.LUT1("(~C*~B*A*~(~1*D))"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000001000000010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2125 (
.a({\u_logic/_al_u2122_o ,\u_logic/_al_u2122_o }),
.b({\u_logic/_al_u2123_o ,\u_logic/_al_u2123_o }),
.c({\u_logic/_al_u2124_o ,\u_logic/_al_u2124_o }),
.d({\u_logic/_al_u1681_o ,\u_logic/_al_u1681_o }),
.mi({open_n112509,\u_logic/Yvjpw6 }),
.fx({open_n112514,\u_logic/_al_u2125_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C*B))"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("(A*~(D*C*B))"),
//.LUTG1("(D*~C*~B*A)"),
.INIT_LUTF0(16'b0010101010101010),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2128|u_logic/_al_u2746 (
.a({\u_logic/_al_u2125_o ,\u_logic/_al_u2745_o }),
.b({\u_logic/_al_u1973_o ,\u_logic/Llaow6_lutinv }),
.c({\u_logic/_al_u2126_o ,\u_logic/_al_u162_o }),
.d({\u_logic/_al_u2127_o ,\u_logic/Ldoiu6_lutinv }),
.f({\u_logic/_al_u2128_o ,\u_logic/_al_u2746_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u212|u_logic/_al_u2599 (
.a({open_n112541,\u_logic/Ok8iu6 }),
.b({open_n112542,\u_logic/_al_u2474_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/vis_pc_o[30] }),
.d({\u_logic/Bb0iu6 ,\u_logic/Usnpw6 }),
.f({\u_logic/Mifpw6 [13],\u_logic/_al_u2599_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTF1("(C*~(B*~(~D*~A)))"),
//.LUTG0("(D*C*~B*A)"),
//.LUTG1("(C*~(B*~(~D*~A)))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0011000001110000),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b0011000001110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2132|u_logic/_al_u1804 (
.a({\u_logic/_al_u2129_o ,\u_logic/Cwiiu6 }),
.b({\u_logic/_al_u2131_o ,\u_logic/P0kax6 }),
.c({\u_logic/J9kiu6_lutinv ,\u_logic/P14qw6 }),
.d({\u_logic/X8ziu6_lutinv ,\u_logic/Rwjax6 }),
.f({\u_logic/_al_u2132_o ,\u_logic/_al_u1804_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(B)*~(C)*~((D*A))*~(0)+~(B)*C*~((D*A))*~(0)+~(B)*~(C)*(D*A)*~(0)+~(B)*C*(D*A)*~(0)+~(B)*~(C)*~((D*A))*0+B*~(C)*~((D*A))*0+~(B)*C*~((D*A))*0)"),
//.LUTF1("(C*~A*~(D*~B))"),
//.LUTG0("(~(B)*~(C)*~((D*A))*~(1)+~(B)*C*~((D*A))*~(1)+~(B)*~(C)*(D*A)*~(1)+~(B)*C*(D*A)*~(1)+~(B)*~(C)*~((D*A))*1+B*~(C)*~((D*A))*1+~(B)*C*~((D*A))*1)"),
//.LUTG1("(C*~A*~(D*~B))"),
.INIT_LUTF0(16'b0011001100110011),
.INIT_LUTF1(16'b0100000001010000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0100000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2136|u_logic/_al_u1611 (
.a({\u_logic/_al_u1086_o ,\u_logic/_al_u151_o }),
.b({\u_logic/_al_u2135_o ,\u_logic/Ae0iu6_lutinv }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/_al_u641_o }),
.d({\u_logic/Vygax6 ,\u_logic/Hirpw6 }),
.e({open_n112593,\u_logic/P5vpw6 }),
.f({\u_logic/T41ju6 ,\u_logic/_al_u1611_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(C)*~((D*B))*~(0)+A*~(C)*~((D*B))*~(0)+~(A)*C*~((D*B))*~(0)+A*C*~((D*B))*~(0)+~(A)*C*(D*B)*~(0)+A*C*(D*B)*~(0)+~(A)*~(C)*~((D*B))*0)"),
//.LUT1("(~(A)*~(C)*~((D*B))*~(1)+A*~(C)*~((D*B))*~(1)+~(A)*C*~((D*B))*~(1)+A*C*~((D*B))*~(1)+~(A)*C*(D*B)*~(1)+A*C*(D*B)*~(1)+~(A)*~(C)*~((D*B))*1)"),
.INIT_LUT0(16'b1111001111111111),
.INIT_LUT1(16'b0000000100000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2137 (
.a({\u_logic/_al_u1241_o ,\u_logic/_al_u1241_o }),
.b({\u_logic/N4kax6 ,\u_logic/N4kax6 }),
.c({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.d({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.mi({open_n112626,\u_logic/Ssjax6 }),
.fx({open_n112631,\u_logic/Qz0ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~A*~(~D*~C))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(B*~A*~(~D*~C))"),
//.LUTG1("(C*~(B*D))"),
.INIT_LUTF0(16'b0100010001000000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0100010001000000),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2139|u_logic/_al_u2690 (
.a({open_n112634,\u_logic/N4kax6 }),
.b({\u_logic/_al_u2138_o ,\u_logic/Rwjax6 }),
.c({\u_logic/_al_u402_o ,\u_logic/Ssjax6 }),
.d({\u_logic/Qz0ju6 ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u2139_o ,\u_logic/Xraow6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18116)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u213|u_logic/S58ax6_reg (
.a({\u_logic/Wanow6_lutinv ,\u_logic/_al_u1187_o }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Ljqow6 }),
.c({\u_logic/vis_r7_o[14] ,\u_logic/Qiqow6 }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[14] ,\u_logic/vis_r11_o[6] }),
.e({open_n112659,\u_logic/vis_r9_o[6] }),
.mi({open_n112661,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u213_o ,\u_logic/_al_u1188_o }),
.q({open_n112677,\u_logic/vis_r5_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18116)
EG_PHY_MSLICE #(
//.LUT0("(0*(C*~((B*~A))*~(D)+C*(B*~A)*~(D)+~(C)*(B*~A)*D+C*(B*~A)*D))"),
//.LUT1("(1*(C*~((B*~A))*~(D)+C*(B*~A)*~(D)+~(C)*(B*~A)*D+C*(B*~A)*D))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0100010011110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2141 (
.a({\u_logic/P14qw6 ,\u_logic/P14qw6 }),
.b({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.c({\u_logic/Sojax6 ,\u_logic/Sojax6 }),
.d({\u_logic/Ssjax6 ,\u_logic/Ssjax6 }),
.mi({open_n112690,\u_logic/Ydopw6 }),
.fx({open_n112695,\u_logic/_al_u2141_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*(~C*~(A)*~(D)+~C*A*~(D)+~(~C)*A*D+~C*A*D))"),
//.LUT1("(D*~C*B*A)"),
.INIT_LUT0(16'b0010001000000011),
.INIT_LUT1(16'b0000100000000000),
.MODE("LOGIC"))
\u_logic/_al_u2146|u_logic/_al_u2144 (
.a({\u_logic/_al_u2134_o ,\u_logic/_al_u1230_o }),
.b({\u_logic/T41ju6 ,\u_logic/_al_u2143_o }),
.c({\u_logic/_al_u2142_o ,\u_logic/Sy2ju6 }),
.d({\u_logic/_al_u2145_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u2146_o ,\u_logic/_al_u2144_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2147|u_logic/_al_u1093 (
.c({\u_logic/_al_u388_o ,\u_logic/Ydopw6 }),
.d({\u_logic/_al_u1676_o ,\u_logic/P5vpw6 }),
.f({\u_logic/I30ju6_lutinv ,\u_logic/Yljiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2149|u_logic/_al_u391 (
.c({\u_logic/Ae0iu6_lutinv ,\u_logic/Ydopw6 }),
.d({\u_logic/_al_u2148_o ,\u_logic/T1vpw6 }),
.f({\u_logic/Wxyiu6 ,\u_logic/_al_u391_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17638)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u214|u_logic/B0spw6_reg (
.a({\u_logic/U9now6_lutinv ,\u_logic/Gkqow6 }),
.b({\u_logic/Panow6_lutinv ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r3_o[14] ,\u_logic/vis_r8_o[6] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[14] ,\u_logic/vis_r10_o[6] }),
.mi({open_n112777,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u214_o ,\u_logic/_al_u1187_o }),
.q({open_n112793,\u_logic/vis_r0_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17638)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(A*~(~D*~C*B))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1010101010100010),
.MODE("LOGIC"))
\u_logic/_al_u2150|u_logic/_al_u1073 (
.a({\u_logic/_al_u2146_o ,open_n112794}),
.b({\u_logic/I30ju6_lutinv ,open_n112795}),
.c({\u_logic/Wxyiu6 ,\u_logic/P5vpw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u2150_o ,\u_logic/Pu1ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18568)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUT1("(A*~(D*C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011110011),
.INIT_LUT1(16'b1000101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2151|u_logic/Daiax6_reg (
.a({\u_logic/I30ju6_lutinv ,open_n112816}),
.b({\u_logic/Fnnpw6 ,\u_logic/n3724 }),
.c({\u_logic/G0zax6 ,\u_logic/Daiax6 }),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[2] ,\u_logic/X5phu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2151_o ,open_n112830}),
.q({open_n112834,\u_logic/Daiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18568)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b1100101000000000),
.MODE("LOGIC"))
\u_logic/_al_u2155|u_logic/_al_u2290 (
.a({\u_logic/_al_u1756_o ,\u_logic/S2ziu6_lutinv }),
.b({\u_logic/_al_u124_o ,\u_logic/Pu1ju6_lutinv }),
.c({\u_logic/_al_u162_o ,\u_logic/Owoiu6 }),
.d({\u_logic/_al_u975_o ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2155_o ,\u_logic/_al_u2290_o }));
EG_PHY_LSLICE #(
//.LUTF0("~((D*A)*~(B)*~(C)+(D*A)*B*~(C)+~((D*A))*B*C+(D*A)*B*C)"),
//.LUTF1("(~A*~(D*~C*B))"),
//.LUTG0("~((D*A)*~(B)*~(C)+(D*A)*B*~(C)+~((D*A))*B*C+(D*A)*B*C)"),
//.LUTG1("(~A*~(D*~C*B))"),
.INIT_LUTF0(16'b0011010100111111),
.INIT_LUTF1(16'b0101000101010101),
.INIT_LUTG0(16'b0011010100111111),
.INIT_LUTG1(16'b0101000101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2156|u_logic/_al_u2709 (
.a({\u_logic/_al_u2155_o ,\u_logic/L45iu6_lutinv }),
.b({\u_logic/_al_u390_o ,\u_logic/_al_u641_o }),
.c({\u_logic/R3vpw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/T1vpw6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2156_o ,\u_logic/_al_u2709_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*B)*~(D*~A))"),
//.LUTF1("(B*~A*~(~D*~C))"),
//.LUTG0("(~(~C*B)*~(D*~A))"),
//.LUTG1("(B*~A*~(~D*~C))"),
.INIT_LUTF0(16'b1010001011110011),
.INIT_LUTF1(16'b0100010001000000),
.INIT_LUTG0(16'b1010001011110011),
.INIT_LUTG1(16'b0100010001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2158|u_logic/_al_u2686 (
.a({\u_logic/_al_u1065_o ,\u_logic/_al_u2685_o }),
.b({\u_logic/_al_u2156_o ,\u_logic/_al_u162_o }),
.c({\u_logic/_al_u2157_o ,\u_logic/Aujpw6 }),
.d({\u_logic/Ydopw6 ,\u_logic/Vygax6 }),
.f({\u_logic/_al_u2158_o ,\u_logic/_al_u2686_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2159|u_logic/_al_u644 (
.b({\u_logic/R9aiu6 ,open_n112905}),
.c({\u_logic/Wkipw6 ,\u_logic/Xxupw6 }),
.d({\u_logic/Ujjiu6 ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u2159_o ,\u_logic/_al_u644_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18842)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u215|u_logic/U2rax6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/_al_u742_o }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_r5_o[14] ,\u_logic/vis_msp_o[4] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[14] ,\u_logic/vis_r9_o[6] }),
.mi({open_n112929,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u215_o ,\u_logic/_al_u876_o }),
.q({open_n112945,\u_logic/vis_r2_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18842)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(0*D*C))"),
//.LUTF1("(C*B*~D)"),
//.LUTG0("(B*A*~(1*D*C))"),
//.LUTG1("(C*B*~D)"),
.INIT_LUTF0(16'b1000100010001000),
.INIT_LUTF1(16'b0000000011000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2163|u_logic/_al_u2115 (
.a({open_n112946,\u_logic/Ez1ju6 }),
.b({\u_logic/Yljiu6 ,\u_logic/_al_u2114_o }),
.c({\u_logic/_al_u1103_o ,\u_logic/_al_u1681_o }),
.d({\u_logic/_al_u960_o ,\u_logic/_al_u1462_o }),
.e({open_n112949,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2163_o ,\u_logic/_al_u2115_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~D*~(C*~B))"),
.INIT_LUT0(16'b0000110011110101),
.INIT_LUT1(16'b0000000011001111),
.MODE("LOGIC"))
\u_logic/_al_u2168|u_logic/_al_u2167 (
.a({open_n112970,\u_logic/_al_u960_o }),
.b({\u_logic/Eoyiu6_lutinv ,\u_logic/R3vpw6 }),
.c({\u_logic/_al_u641_o ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u2167_o ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u2168_o ,\u_logic/_al_u2167_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b1000100000001010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1000100000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2169|u_logic/_al_u1999 (
.a({\u_logic/Z89ow6 ,\u_logic/U98iu6 }),
.b({\u_logic/N3ziu6 ,\u_logic/_al_u641_o }),
.c({\u_logic/_al_u161_o ,\u_logic/Vzupw6 }),
.d({\u_logic/Jf6ju6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2169_o ,\u_logic/_al_u1999_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17639)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u216|u_logic/A2spw6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/V6now6_lutinv ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r6_o[14] ,\u_logic/vis_r8_o[6] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[14] ,\u_logic/vis_r10_o[6] }),
.mi({open_n113025,\u_logic/Sokiu6 }),
.f({\u_logic/Wv8pw6 ,\u_logic/_al_u877_o }),
.q({open_n113030,\u_logic/vis_r1_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17639)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(B*~(C*~(0*D))))"),
//.LUTF1("(~(~C*B)*~(D*~A))"),
//.LUTG0("(~A*~(B*~(C*~(1*D))))"),
//.LUTG1("(~(~C*B)*~(D*~A))"),
.INIT_LUTF0(16'b0101000101010001),
.INIT_LUTF1(16'b1010001011110011),
.INIT_LUTG0(16'b0001000101010001),
.INIT_LUTG1(16'b1010001011110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2170|u_logic/_al_u2676 (
.a({\u_logic/_al_u2168_o ,\u_logic/_al_u2674_o }),
.b({\u_logic/_al_u1676_o ,\u_logic/Qe8iu6_lutinv }),
.c({\u_logic/_al_u2169_o ,\u_logic/_al_u2675_o }),
.d({\u_logic/_al_u1094_o ,\u_logic/Frziu6_lutinv }),
.e({open_n113033,\u_logic/_al_u1098_o }),
.f({\u_logic/_al_u2170_o ,\u_logic/_al_u2676_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*~C*B*A))"),
//.LUTF1("(~C*~(~D*B*A))"),
//.LUTG0("(1*~(~D*~C*B*A))"),
//.LUTG1("(~C*~(~D*B*A))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000111100000111),
.INIT_LUTG0(16'b1111111111110111),
.INIT_LUTG1(16'b0000111100000111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2171|u_logic/_al_u1250 (
.a({\u_logic/_al_u162_o ,\u_logic/M1jiu6 }),
.b({\u_logic/_al_u686_o ,\u_logic/_al_u1240_o }),
.c({\u_logic/_al_u1600_o ,\u_logic/_al_u1244_o }),
.d({\u_logic/Hirpw6 ,\u_logic/_al_u1249_o }),
.e({open_n113056,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u2171_o ,\u_logic/n3767 }));
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*~(D)*0+B*C*~(D)*0))"),
//.LUT1("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*~(D)*1+B*C*~(D)*1))"),
.INIT_LUT0(16'b0000001000000010),
.INIT_LUT1(16'b0000000010100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2172 (
.a({\u_logic/_al_u1596_o ,\u_logic/_al_u1596_o }),
.b({\u_logic/_al_u1226_o ,\u_logic/_al_u1226_o }),
.c({\u_logic/Dxvpw6 ,\u_logic/Dxvpw6 }),
.d({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.mi({open_n113089,\u_logic/U9ypw6 }),
.fx({open_n113094,\u_logic/_al_u2172_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2173|u_logic/_al_u1238 (
.c({\u_logic/Dxvpw6 ,\u_logic/Ydopw6 }),
.d({\u_logic/_al_u1228_o ,\u_logic/U9ypw6 }),
.f({\u_logic/Fm6ow6_lutinv ,\u_logic/J9kiu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~(~D*~A)))"),
//.LUT1("(~B*A*~(D*C))"),
.INIT_LUT0(16'b0000110001001100),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"))
\u_logic/_al_u2174|u_logic/_al_u4042 (
.a({\u_logic/_al_u2171_o ,\u_logic/_al_u4041_o }),
.b({\u_logic/_al_u2172_o ,\u_logic/_al_u706_o }),
.c({\u_logic/_al_u723_o ,\u_logic/Dxvpw6 }),
.d({\u_logic/Fm6ow6_lutinv ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u2174_o ,\u_logic/_al_u4042_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(A*~(~D*C*B))"),
//.LUTG0("(C*D)"),
//.LUTG1("(A*~(~D*C*B))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1010101000101010),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1010101000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2176|u_logic/_al_u1604 (
.a({\u_logic/_al_u2174_o ,open_n113145}),
.b({\u_logic/_al_u1235_o ,open_n113146}),
.c({\u_logic/Wh9ow6_lutinv ,\u_logic/Sojax6 }),
.d({\u_logic/U9ypw6 ,\u_logic/Qxoiu6 }),
.f({\u_logic/_al_u2176_o ,\u_logic/F6ziu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*A*~(~0*~B))"),
//.LUT1("(~D*C*A*~(~1*~B))"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000010100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2177 (
.a({\u_logic/Jckax6 ,\u_logic/Jckax6 }),
.b({\u_logic/Jgxpw6 ,\u_logic/Jgxpw6 }),
.c({\u_logic/N4kax6 ,\u_logic/N4kax6 }),
.d({\u_logic/Ssjax6 ,\u_logic/Ssjax6 }),
.mi({open_n113183,\u_logic/W4jax6 }),
.fx({open_n113188,\u_logic/_al_u2177_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u2180|u_logic/_al_u2468 (
.c({\u_logic/U9ypw6 ,\u_logic/Ssjax6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/Sojax6 }),
.f({\u_logic/Vk9ow6 ,\u_logic/_al_u2468_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~(~0*D)*~(A)*~(B)+~(~0*D)*A*~(B)+~(~(~0*D))*A*B+~(~0*D)*A*B))"),
//.LUTF1("(B*~(~0*~A*~(~D*C)))"),
//.LUTG0("(C*~(~(~1*D)*~(A)*~(B)+~(~1*D)*A*~(B)+~(~(~1*D))*A*B+~(~1*D)*A*B))"),
//.LUTG1("(B*~(~1*~A*~(~D*C)))"),
.INIT_LUTF0(16'b0111000001000000),
.INIT_LUTF1(16'b1000100011001000),
.INIT_LUTG0(16'b0100000001000000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2181|u_logic/_al_u2316 (
.a({\u_logic/Ok9ow6 ,\u_logic/_al_u2315_o }),
.b({\u_logic/_al_u397_o ,\u_logic/N4kax6 }),
.c({\u_logic/Aujiu6 ,\u_logic/Rwjax6 }),
.d({\u_logic/_al_u1226_o ,\u_logic/Skjax6 }),
.e({\u_logic/Vk9ow6 ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u2181_o ,\u_logic/_al_u2316_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~B))"),
//.LUTF1("(~D*~(~C*~B*A))"),
//.LUTG0("(D*~(C*~B))"),
//.LUTG1("(~D*~(~C*~B*A))"),
.INIT_LUTF0(16'b1100111100000000),
.INIT_LUTF1(16'b0000000011111101),
.INIT_LUTG0(16'b1100111100000000),
.INIT_LUTG1(16'b0000000011111101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2182|u_logic/_al_u1780 (
.a({\u_logic/_al_u2176_o ,open_n113237}),
.b({\u_logic/_al_u2178_o ,\u_logic/_al_u1227_o }),
.c({\u_logic/_al_u2181_o ,\u_logic/Skjax6 }),
.d({\u_logic/_al_u1101_o ,\u_logic/_al_u1779_o }),
.f({\u_logic/_al_u2182_o ,\u_logic/_al_u1780_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~B*~(0*C*~A)))"),
//.LUT1("(D*~(~B*~(1*C*~A)))"),
.INIT_LUT0(16'b1100110000000000),
.INIT_LUT1(16'b1101110000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2183 (
.a({\u_logic/_al_u1676_o ,\u_logic/_al_u1676_o }),
.b({\u_logic/_al_u162_o ,\u_logic/_al_u162_o }),
.c({\u_logic/Yljiu6 ,\u_logic/Yljiu6 }),
.d({\u_logic/Vzupw6 ,\u_logic/Vzupw6 }),
.mi({open_n113274,\u_logic/Yvjpw6 }),
.fx({open_n113279,\u_logic/_al_u2183_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~C*~D))"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b1100110011000000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\u_logic/_al_u2185|u_logic/_al_u2752 (
.a({\u_logic/_al_u2166_o ,open_n113282}),
.b({\u_logic/_al_u2170_o ,\u_logic/_al_u154_o }),
.c({\u_logic/_al_u2182_o ,\u_logic/Hirpw6 }),
.d({\u_logic/_al_u2184_o ,\u_logic/_al_u1101_o }),
.f({\u_logic/_al_u2185_o ,\u_logic/_al_u2752_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18076)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(C*~(D*B)))"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("~(A*~(C*~(D*B)))"),
//.LUTG1("(D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111010111110101),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0111010111110101),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2189|u_logic/F17ax6_reg (
.a({\u_logic/Ljbpw6_lutinv ,\u_logic/Qh5iu6 }),
.b({\u_logic/vis_ipsr_o[4] ,\u_logic/_al_u1066_o }),
.c({\u_logic/vis_ipsr_o[1] ,\u_logic/_al_u2256_o }),
.clk(clk_pad),
.d({\u_logic/vis_ipsr_o[5] ,\u_logic/Qrgiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2189_o ,open_n113320}),
.q({open_n113324,\u_logic/F17ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18076)
EG_PHY_LSLICE #(
//.LUTF0("(A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b1000101010000000),
.INIT_LUTF1(16'b1010100000100000),
.INIT_LUTG0(16'b1000101010000000),
.INIT_LUTG1(16'b1010100000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2190|u_logic/_al_u2225 (
.a({\u_logic/_al_u2189_o ,\u_logic/_al_u2187_o }),
.b({\u_logic/vis_ipsr_o[0] ,\u_logic/Cy4bx6 }),
.c({\u_logic/Pz9bx6 ,\u_logic/vis_ipsr_o[0] }),
.d({\u_logic/Up4bx6 ,\u_logic/Yt4bx6 }),
.f({\u_logic/_al_u2190_o ,\u_logic/_al_u2225_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*~C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000100000000000),
.MODE("LOGIC"))
\u_logic/_al_u2192|u_logic/_al_u2254 (
.a({\u_logic/H9row6_lutinv ,\u_logic/K5eiu6 }),
.b({\u_logic/_al_u1067_o ,\u_logic/R9yax6 }),
.c({\u_logic/vis_ipsr_o[2] ,\u_logic/W5ypw6 }),
.d({\u_logic/vis_ipsr_o[3] ,\u_logic/Ztupw6 }),
.f({\u_logic/Qrgiu6 ,\u_logic/Xrgiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17407)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*A*~(0*C))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*~B*A*~(1*C))"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000100010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2202|u_logic/S3mpw6_reg (
.a({open_n113369,\u_logic/_al_u2201_o }),
.b({\u_logic/_al_u1914_o ,\u_logic/_al_u2202_o }),
.c({\u_logic/Xozax6 ,\u_logic/Hcgiu6_lutinv }),
.ce(\u_logic/n1116 ),
.clk(clk_pad),
.d({\u_logic/_al_u1890_o ,\u_logic/_al_u2203_o }),
.e({open_n113370,\u_logic/Rlgbx6 }),
.mi({open_n113372,HWDATA[7]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2202_o ,\u_logic/_al_u2204_o }),
.q({open_n113387,\u_logic/S3mpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17407)
// ../rtl/topmodule/cortexm0ds_logic.v(19068)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~A*~(0*B))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*~C*~A*~(1*B))"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2203|u_logic/H4zax6_reg (
.a({open_n113388,\u_logic/_al_u2238_o }),
.b({\u_logic/_al_u1914_o ,\u_logic/_al_u2050_o }),
.c({\u_logic/J6zax6 ,\u_logic/_al_u2239_o }),
.ce(\u_logic/n1113 ),
.clk(clk_pad),
.d({\u_logic/_al_u2042_o ,\u_logic/_al_u2240_o }),
.e({open_n113389,\u_logic/Rezax6 }),
.mi({open_n113391,HWDATA[7]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2203_o ,\u_logic/_al_u2241_o }),
.q({open_n113406,\u_logic/H4zax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19068)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*B)*~(0*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~(D*B)*~(1*A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000001100001111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2205|u_logic/_al_u2196 (
.a({open_n113407,\u_logic/_al_u2030_o }),
.b({\u_logic/_al_u1914_o ,\u_logic/_al_u2034_o }),
.c({\u_logic/Vbspw6 ,\u_logic/_al_u2195_o }),
.d({\u_logic/_al_u1908_o ,\u_logic/G25bx6 }),
.e({open_n113410,\u_logic/X7abx6 }),
.f({\u_logic/_al_u2205_o ,\u_logic/_al_u2196_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~B*~A*~(D*C))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"))
\u_logic/_al_u2207|u_logic/_al_u3218 (
.a({\u_logic/_al_u2205_o ,\u_logic/M6eiu6 }),
.b({\u_logic/_al_u2206_o ,\u_logic/E1fiu6 }),
.c({\u_logic/Hwhiu6_lutinv ,\u_logic/Ox9bx6 }),
.d({\u_logic/V5abx6 ,\u_logic/T3abx6 }),
.f({\u_logic/_al_u2207_o ,\u_logic/_al_u3218_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19572)
EG_PHY_LSLICE #(
//.LUTF0("(~C*A*(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B))"),
//.LUTF1("(D*(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B))"),
//.LUTG0("(~C*A*(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B))"),
//.LUTG1("(D*(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001000000000),
.INIT_LUTF1(16'b1011100000000000),
.INIT_LUTG0(16'b0000101000001000),
.INIT_LUTG1(16'b1011100000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2209|u_logic/Az3bx6_reg (
.a({\u_logic/E34bx6 ,\u_logic/_al_u1940_o }),
.b({\u_logic/vis_ipsr_o[0] ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/Jdgbx6 ,\u_logic/vis_ipsr_o[1] }),
.ce(\u_logic/n1111 ),
.clk(clk_pad),
.d({\u_logic/vis_ipsr_o[1] ,\u_logic/R1abx6 }),
.e({open_n113451,\u_logic/Yw3bx6 }),
.mi({open_n113453,\u_logic/Fsdiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2209_o ,\u_logic/_al_u2211_o }),
.q({open_n113468,\u_logic/Az3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19572)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~D))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(B*~(C*~D))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1100110000001100),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1100110000001100),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2218|u_logic/_al_u4314 (
.b({open_n113471,\u_logic/Ydeow6 }),
.c({\u_logic/Tl4bx6 ,\u_logic/_al_u4313_o }),
.d({\u_logic/_al_u2217_o ,\u_logic/_al_u4241_o }),
.f({\u_logic/Vwapw6 ,\u_logic/_al_u4314_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19074)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~A*~(D*C)*~(0*B))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000010101010101),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2220|u_logic/J6zax6_reg (
.a({\u_logic/_al_u2219_o ,open_n113496}),
.b({\u_logic/Dagiu6_lutinv ,\u_logic/A9row6_lutinv }),
.c({\u_logic/_al_u2030_o ,\u_logic/Nhgbx6 }),
.ce(\u_logic/n1113 ),
.clk(clk_pad),
.d({\u_logic/E05bx6 ,\u_logic/_al_u2042_o }),
.e({\u_logic/Sh4bx6 ,open_n113497}),
.mi({open_n113499,HWDATA[14]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2220_o ,\u_logic/_al_u2200_o }),
.q({open_n113514,\u_logic/J6zax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19074)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u2228|u_logic/_al_u66 (
.a({\u_logic/Ajgiu6 ,open_n113515}),
.b({\u_logic/Rhgiu6 ,open_n113516}),
.c({\u_logic/Elnpw6 ,\u_logic/C1wpw6 }),
.d({\u_logic/Gz6ax6 ,\u_logic/Hqgiu6 }),
.f({\u_logic/Nzapw6 ,\u_logic/n1200 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19656)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(0*A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
//.LUTG0("(C*D)"),
//.LUTG1("(1*A*(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1010100000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2229|u_logic/Wr4bx6_reg (
.a({\u_logic/_al_u1940_o ,open_n113537}),
.b({\u_logic/C14bx6 ,open_n113538}),
.c({\u_logic/vis_ipsr_o[0] ,\u_logic/Vpgbx6 }),
.ce(\u_logic/n1110 ),
.clk(clk_pad),
.d({\u_logic/G54bx6 ,\u_logic/Rhgiu6 }),
.e({\u_logic/vis_ipsr_o[1] ,open_n113539}),
.mi({open_n113541,\u_logic/Fsdiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2229_o ,\u_logic/_al_u2213_o }),
.q({open_n113556,\u_logic/Wr4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19656)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(B*(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)))"),
//.LUT1("(~A*~(B*(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)))"),
.INIT_LUT0(16'b0101000101010101),
.INIT_LUT1(16'b0001000100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2230 (
.a({\u_logic/_al_u2229_o ,\u_logic/_al_u2229_o }),
.b({\u_logic/_al_u2189_o ,\u_logic/_al_u2189_o }),
.c({\u_logic/vis_ipsr_o[0] ,\u_logic/vis_ipsr_o[0] }),
.d({\u_logic/Sn4bx6 ,\u_logic/Sn4bx6 }),
.mi({open_n113569,\u_logic/Wr4bx6 }),
.fx({open_n113574,\u_logic/_al_u2230_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19852)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2231|u_logic/R1abx6_reg (
.a({\u_logic/_al_u2224_o ,\u_logic/F0eow6 }),
.b({\u_logic/_al_u2227_o ,\u_logic/M0eow6 }),
.c({\u_logic/Nzapw6 ,\u_logic/Rz0bx6 }),
.ce(\u_logic/n1111 ),
.clk(clk_pad),
.d({\u_logic/_al_u2230_o ,\u_logic/Tcipw6 }),
.mi({open_n113580,HWDATA[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2231_o ,\u_logic/_al_u3011_o }),
.q({open_n113595,\u_logic/R1abx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19852)
EG_PHY_MSLICE #(
//.LUT0("(~D*A*(0*~(B)*~(C)+0*B*~(C)+~(0)*B*C+0*B*C))"),
//.LUT1("(~D*A*(1*~(B)*~(C)+1*B*~(C)+~(1)*B*C+1*B*C))"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000010001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2232 (
.a({\u_logic/_al_u1940_o ,\u_logic/_al_u1940_o }),
.b({\u_logic/Az3bx6 ,\u_logic/Az3bx6 }),
.c({\u_logic/vis_ipsr_o[0] ,\u_logic/vis_ipsr_o[0] }),
.d({\u_logic/vis_ipsr_o[1] ,\u_logic/vis_ipsr_o[1] }),
.mi({open_n113608,\u_logic/Wu3bx6 }),
.fx({open_n113613,\u_logic/_al_u2232_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2234|u_logic/_al_u4290 (
.a({open_n113616,\u_logic/_al_u4289_o }),
.b({open_n113617,\u_logic/I3fiu6 }),
.c({\u_logic/_al_u2233_o ,\u_logic/E1fiu6 }),
.d({\u_logic/_al_u2232_o ,\u_logic/Qjyax6 }),
.e({open_n113620,\u_logic/Yryax6 }),
.f({\u_logic/_al_u2234_o ,\u_logic/_al_u4290_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19002)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~((C*B))*D*~(0)+A*~((C*B))*D*~(0)+~(A)*(C*B)*~(D)*0+~(A)*~((C*B))*D*0+A*~((C*B))*D*0+~(A)*(C*B)*D*0+A*(C*B)*D*0)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(A)*~((C*B))*D*~(1)+A*~((C*B))*D*~(1)+~(A)*(C*B)*~(D)*1+~(A)*~((C*B))*D*1+A*~((C*B))*D*1+~(A)*(C*B)*D*1+A*(C*B)*D*1)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1111111101000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2235|u_logic/Ohyax6_reg (
.a({\u_logic/Eegiu6_lutinv ,\u_logic/_al_u4166_o }),
.b({\u_logic/Hcgiu6_lutinv ,\u_logic/C3wpw6 }),
.c({\u_logic/Mb4bx6 ,\u_logic/U31bx6 }),
.ce(\u_logic/n1112 ),
.clk(clk_pad),
.d({\u_logic/Od4bx6 ,\u_logic/Wmzax6 }),
.e({open_n113641,\u_logic/Yqzax6 }),
.mi({open_n113643,HWDATA[14]}),
.sr(cpuresetn),
.f({\u_logic/H3bpw6 ,\u_logic/Xttow6_lutinv }),
.q({open_n113658,\u_logic/Ohyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19002)
// ../rtl/topmodule/cortexm0ds_logic.v(18959)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2239|u_logic/L9xax6_reg (
.b({\u_logic/A9row6_lutinv ,open_n113661}),
.c({\u_logic/K65bx6 ,\u_logic/H4zax6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/_al_u2029_o ,\u_logic/Hwhiu6_lutinv }),
.mi({open_n113665,\u_logic/Fsdiu6 }),
.f({\u_logic/_al_u2239_o ,\u_logic/_al_u2238_o }),
.q({open_n113681,\u_logic/L9xax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18959)
// ../rtl/topmodule/cortexm0ds_logic.v(19692)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2242|u_logic/I45bx6_reg (
.b({\u_logic/_al_u1914_o ,\u_logic/A9row6_lutinv }),
.c({\u_logic/Wmzax6 ,\u_logic/Nazax6 }),
.ce(\u_logic/n1114 ),
.clk(clk_pad),
.d({\u_logic/_al_u1890_o ,\u_logic/_al_u2042_o }),
.mi({open_n113694,\u_logic/Fsdiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2242_o ,\u_logic/_al_u2240_o }),
.q({open_n113698,\u_logic/I45bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19692)
// ../rtl/topmodule/cortexm0ds_logic.v(19870)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2243|u_logic/X7abx6_reg (
.b({\u_logic/_al_u1914_o ,\u_logic/_al_u1067_o }),
.c({\u_logic/L8zax6 ,\u_logic/Eyyax6 }),
.ce(\u_logic/n1114 ),
.clk(clk_pad),
.d({\u_logic/_al_u2042_o ,\u_logic/_al_u1908_o }),
.mi({open_n113711,HWDATA[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2243_o ,\u_logic/_al_u2236_o }),
.q({open_n113715,\u_logic/X7abx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19870)
// ../rtl/topmodule/cortexm0ds_logic.v(17365)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2249|u_logic/Rilpw6_reg (
.c({\u_logic/vis_primask_o ,\u_logic/vis_ipsr_o[0] }),
.ce(\u_logic/Tw2iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/B6cpw6 ,\u_logic/B6cpw6 }),
.mi({open_n113723,SWDIO_pad}),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/Pmapw6_lutinv ,\u_logic/_al_u1079_o }),
.q({open_n113738,\u_logic/Rilpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17365)
// ../rtl/topmodule/cortexm0ds_logic.v(18552)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*~(B*~A)))"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111100001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u224|u_logic/Nyhax6_reg (
.a({open_n113739,\u_logic/_al_u4629_o }),
.b({open_n113740,\u_logic/_al_u4631_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u4743_o }),
.clk(clk_pad),
.d({\u_logic/Na0iu6 ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/Mifpw6 [15],open_n113754}),
.q({open_n113758,\u_logic/vis_pc_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(18552)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2252|u_logic/_al_u164 (
.c({\u_logic/Xxupw6 ,\u_logic/Xxupw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/_al_u2252_o ,\u_logic/_al_u164_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(D*C*A))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0001001100110011),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2253|u_logic/_al_u642 (
.a({open_n113787,\u_logic/Apaiu6_lutinv }),
.b({\u_logic/Yljiu6 ,\u_logic/_al_u640_o }),
.c({\u_logic/_al_u2252_o ,\u_logic/_al_u641_o }),
.d({\u_logic/Pthiu6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u2253_o ,\u_logic/Yavow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(D*C*~B*A)"),
//.LUTG1("(C*~(B*D))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2256|u_logic/_al_u2187 (
.a({open_n113808,\u_logic/Ljbpw6_lutinv }),
.b({\u_logic/C1wpw6 ,\u_logic/vis_ipsr_o[4] }),
.c({\u_logic/F17ax6 ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/Xrgiu6 ,\u_logic/vis_ipsr_o[5] }),
.f({\u_logic/_al_u2256_o ,\u_logic/_al_u2187_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2258|u_logic/_al_u2267 (
.c({\u_logic/Qkniu6_lutinv ,\u_logic/_al_u2100_o }),
.d({\u_logic/_al_u2094_o ,\u_logic/_al_u2098_o }),
.f({\u_logic/Miniu6_lutinv ,\u_logic/Jkniu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2259|u_logic/_al_u2348 (
.c({\u_logic/Miniu6_lutinv ,\u_logic/Jkniu6_lutinv }),
.d({\u_logic/Ckniu6 ,\u_logic/Vjniu6_lutinv }),
.f({\u_logic/Ztmiu6 ,\u_logic/Numiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u2260|u_logic/_al_u2262 (
.c({\u_logic/_al_u2100_o ,\u_logic/Qkniu6_lutinv }),
.d({\u_logic/_al_u2098_o ,\u_logic/_al_u2094_o }),
.f({\u_logic/Finiu6 ,\u_logic/Vjniu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2261|u_logic/_al_u2263 (
.c({\u_logic/Finiu6 ,\u_logic/Vjniu6_lutinv }),
.d({\u_logic/Miniu6_lutinv ,\u_logic/Finiu6 }),
.f({\u_logic/Jsmiu6 ,\u_logic/Qsmiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2264|u_logic/_al_u2268 (
.c({\u_logic/Vjniu6_lutinv ,\u_logic/Jkniu6_lutinv }),
.d({\u_logic/Ckniu6 ,\u_logic/Miniu6_lutinv }),
.f({\u_logic/Gumiu6 ,\u_logic/n1580 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2266|u_logic/_al_u2349 (
.c({\u_logic/Rhniu6_lutinv ,\u_logic/Jkniu6_lutinv }),
.d({\u_logic/Ckniu6 ,\u_logic/Rhniu6_lutinv }),
.f({\u_logic/Ltmiu6 ,\u_logic/Stmiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17686)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2269|u_logic/Wjtpw6_reg (
.a({open_n113981,\u_logic/_al_u466_o }),
.b({open_n113982,\u_logic/_al_u467_o }),
.c({\u_logic/Jkniu6_lutinv ,\u_logic/_al_u468_o }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/Dhniu6_lutinv ,\u_logic/_al_u469_o }),
.mi({open_n113986,\u_logic/Qcaiu6 }),
.f({\u_logic/n1577 ,\u_logic/Gwzhu6 }),
.q({open_n114002,\u_logic/vis_r5_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(17686)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2270 (
.c({open_n114007,\u_logic/Rhniu6_lutinv }),
.d({open_n114010,\u_logic/Finiu6 }),
.f({open_n114024,\u_logic/_al_u2270_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2273|u_logic/_al_u2274 (
.c({\u_logic/Khniu6_lutinv ,\u_logic/Khniu6_lutinv }),
.d({\u_logic/Vjniu6_lutinv ,\u_logic/Miniu6_lutinv }),
.f({\u_logic/Xsmiu6 ,\u_logic/n1573 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2276|u_logic/_al_u2275 (
.c({\u_logic/Khniu6_lutinv ,\u_logic/Khniu6_lutinv }),
.d({\u_logic/Dhniu6_lutinv ,\u_logic/Rhniu6_lutinv }),
.f({\u_logic/n1571 ,\u_logic/Csmiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18325)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~D*C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2277|u_logic/Y2fax6_reg (
.a({HWDATA[28],open_n114082}),
.b({HWDATA[25],open_n114083}),
.c({HWDATA[26],\u_logic/P93qw6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({HWDATA[27],\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u2277_o ,\u_logic/H34iu6 }),
.q({open_n114100,\u_logic/Y2fax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18325)
// ../rtl/topmodule/cortexm0ds_logic.v(18411)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2278|u_logic/Yogax6_reg (
.b({HWDATA[17],open_n114103}),
.c({HWDATA[16],\u_logic/D2rpw6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({HWDATA[18],\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u2278_o ,\u_logic/F94iu6 }),
.q({open_n114124,\u_logic/Yogax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18411)
EG_PHY_LSLICE #(
//.LUTF0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0010001111101111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0010001111101111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2280|u_logic/_al_u1010 (
.a({HWDATA[20],\u_logic/_al_u633_o }),
.b({HWDATA[21],\u_logic/_al_u657_o }),
.c({HWDATA[22],\u_logic/Kadbx6 }),
.d({HWDATA[19],\u_logic/Stkpw6 }),
.f({\u_logic/_al_u2280_o ,\u_logic/Xd2iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*B*A)"),
//.LUT1("(1*D*C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2281 (
.a({\u_logic/_al_u2277_o ,\u_logic/_al_u2277_o }),
.b({\u_logic/_al_u2279_o ,\u_logic/_al_u2279_o }),
.c({\u_logic/_al_u2280_o ,\u_logic/_al_u2280_o }),
.d({HWDATA[24],HWDATA[24]}),
.mi({open_n114161,HWDATA[23]}),
.fx({open_n114166,\u_logic/Uzhiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2282|u_logic/_al_u118 (
.b({open_n114171,\u_logic/W5ypw6 }),
.c({\u_logic/K5eiu6 ,\u_logic/Ztupw6 }),
.d({\u_logic/Cznow6 ,\u_logic/R9yax6 }),
.f({\u_logic/_al_u2282_o ,\u_logic/Cznow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~B*~(C*A)))"),
//.LUTF1("(~B*~(~A*~(D*C)))"),
//.LUTG0("(~D*~(~B*~(C*A)))"),
//.LUTG1("(~B*~(~A*~(D*C)))"),
.INIT_LUTF0(16'b0000000011101100),
.INIT_LUTF1(16'b0011001000100010),
.INIT_LUTG0(16'b0000000011101100),
.INIT_LUTG1(16'b0011001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2284|u_logic/_al_u2152 (
.a({\u_logic/_al_u1756_o ,\u_logic/_al_u1089_o }),
.b({\u_logic/Ae0iu6_lutinv ,\u_logic/_al_u1586_o }),
.c({\u_logic/_al_u641_o ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u688_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u2284_o ,\u_logic/_al_u2152_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17756)
EG_PHY_LSLICE #(
//.LUTF0("(~((~B*A))*~(C)*D*~(0)+~((~B*A))*C*D*~(0)+~((~B*A))*~(C)*~(D)*0+(~B*A)*~(C)*~(D)*0+~((~B*A))*C*~(D)*0+(~B*A)*C*~(D)*0+~((~B*A))*~(C)*D*0+~((~B*A))*C*D*0+(~B*A)*C*D*0)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~((~B*A))*~(C)*D*~(1)+~((~B*A))*C*D*~(1)+~((~B*A))*~(C)*~(D)*1+(~B*A)*~(C)*~(D)*1+~((~B*A))*C*~(D)*1+(~B*A)*C*~(D)*1+~((~B*A))*~(C)*D*1+~((~B*A))*C*D*1+(~B*A)*C*D*1)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111110111111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2285|u_logic/P5vpw6_reg (
.a({open_n114216,\u_logic/_al_u1620_o }),
.b({open_n114217,\u_logic/_al_u1623_o }),
.c({\u_logic/Hirpw6 ,\u_logic/_al_u1103_o }),
.clk(clk_pad),
.d({\u_logic/_al_u391_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.e({open_n114219,\u_logic/P5vpw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2285_o ,open_n114234}),
.q({open_n114238,\u_logic/P5vpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17756)
EG_PHY_MSLICE #(
//.LUT0("~(B*~((~D*A))*~(C)+B*(~D*A)*~(C)+~(B)*(~D*A)*C+B*(~D*A)*C)"),
//.LUT1("(~C*~A*~(D*B))"),
.INIT_LUT0(16'b1111001101010011),
.INIT_LUT1(16'b0000000100000101),
.MODE("LOGIC"))
\u_logic/_al_u2286|u_logic/_al_u1751 (
.a({\u_logic/_al_u2284_o ,\u_logic/Qe8iu6_lutinv }),
.b({\u_logic/_al_u2285_o ,\u_logic/_al_u1750_o }),
.c({\u_logic/_al_u1750_o ,\u_logic/Hirpw6 }),
.d({\u_logic/Xxupw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2286_o ,\u_logic/_al_u1751_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2287|u_logic/_al_u163 (
.a({open_n114259,\u_logic/_al_u159_o }),
.b({open_n114260,\u_logic/_al_u160_o }),
.c({\u_logic/Ydopw6 ,\u_logic/_al_u161_o }),
.d({\u_logic/_al_u196_o ,\u_logic/_al_u162_o }),
.f({\u_logic/_al_u2287_o ,\u_logic/_al_u163_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~A)"),
//.LUTF1("(~B*A*~(D*C))"),
//.LUTG0("(~D*~C*B*~A)"),
//.LUTG1("(~B*A*~(D*C))"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2288|u_logic/_al_u2371 (
.a({\u_logic/_al_u2286_o ,\u_logic/Ae0iu6_lutinv }),
.b({\u_logic/_al_u2287_o ,\u_logic/Frziu6_lutinv }),
.c({\u_logic/Ls1ju6 ,\u_logic/Ydopw6 }),
.d({\u_logic/Md0iu6_lutinv ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2288_o ,\u_logic/_al_u2371_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2291|u_logic/_al_u2562 (
.b({\u_logic/Yi7ju6_lutinv ,\u_logic/_al_u641_o }),
.c({\u_logic/_al_u2252_o ,\u_logic/Xxupw6 }),
.d({\u_logic/Yljiu6 ,\u_logic/Yecpw6_lutinv }),
.f({\u_logic/_al_u2291_o ,\u_logic/Wrcpw6 }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*~(~B*~(D*C))))"),
//.LUT1("(~A*~(1*~(~B*~(D*C))))"),
.INIT_LUT0(16'b0101010101010101),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2292 (
.a({\u_logic/_al_u2290_o ,\u_logic/_al_u2290_o }),
.b({\u_logic/_al_u2291_o ,\u_logic/_al_u2291_o }),
.c({\u_logic/_al_u159_o ,\u_logic/_al_u159_o }),
.d({\u_logic/_al_u1094_o ,\u_logic/_al_u1094_o }),
.mi({open_n114343,\u_logic/Vzupw6 }),
.fx({open_n114348,\u_logic/_al_u2292_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18727)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~C*B*A)"),
//.LUT1("(D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111110111),
.INIT_LUT1(16'b0000100000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2293|u_logic/I8lax6_reg (
.a({\u_logic/_al_u1746_o ,\u_logic/Yavow6 }),
.b({\u_logic/_al_u2288_o ,\u_logic/Lv7ow6 }),
.c({\u_logic/_al_u2289_o ,\u_logic/_al_u650_o }),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({\u_logic/_al_u2292_o ,\u_logic/_al_u652_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2293_o ,\u_logic/Fnpiu6 }),
.q({open_n114366,\u_logic/I8lax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18727)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(C*~B*~D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(C*~B*~D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000000000110000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2294|u_logic/_al_u1439 (
.b({\u_logic/Jf6ju6 ,open_n114369}),
.c({\u_logic/Yvjpw6 ,\u_logic/Yvjpw6 }),
.d({\u_logic/Pthiu6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2294_o ,\u_logic/Nkaju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0010001000001010),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0010001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2295|u_logic/_al_u1510 (
.a({\u_logic/_al_u2294_o ,open_n114394}),
.b({\u_logic/_al_u975_o ,open_n114395}),
.c({\u_logic/_al_u1147_o ,\u_logic/Xxupw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u2295_o ,\u_logic/N98iu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~C*B*~(0*D)))"),
//.LUT1("(~A*~(~C*B*~(1*D)))"),
.INIT_LUT0(16'b0101000101010001),
.INIT_LUT1(16'b0101010101010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2297 (
.a({\u_logic/_al_u2293_o ,\u_logic/_al_u2293_o }),
.b({\u_logic/_al_u2296_o ,\u_logic/_al_u2296_o }),
.c({\u_logic/_al_u146_o ,\u_logic/_al_u146_o }),
.d({\u_logic/Owoiu6 ,\u_logic/Owoiu6 }),
.mi({open_n114432,\u_logic/Edapw6_lutinv }),
.fx({open_n114437,\u_logic/A3iiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*B)*~(C*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(~D*B)*~(C*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0101111100010011),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0101111100010011),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2299|u_logic/_al_u4455 (
.a({open_n114440,\u_logic/Qyniu6_lutinv }),
.b({\u_logic/_al_u151_o ,\u_logic/_al_u1586_o }),
.c({\u_logic/_al_u975_o ,\u_logic/S4kbx6 }),
.d({\u_logic/_al_u2298_o ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u2299_o ,\u_logic/_al_u4455_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~C*B*~(0*D)))"),
//.LUT1("(~A*~(~C*B*~(1*D)))"),
.INIT_LUT0(16'b0101000101010001),
.INIT_LUT1(16'b0101010101010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2301 (
.a({\u_logic/_al_u2293_o ,\u_logic/_al_u2293_o }),
.b({\u_logic/_al_u2299_o ,\u_logic/_al_u2299_o }),
.c({\u_logic/_al_u2300_o ,\u_logic/_al_u2300_o }),
.d({\u_logic/Kr7ow6_lutinv ,\u_logic/Kr7ow6_lutinv }),
.mi({open_n114477,\u_logic/_al_u1586_o }),
.fx({open_n114482,\u_logic/_al_u2301_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~C*B))"),
//.LUT1("(~(C*B)*~(~D*A))"),
.INIT_LUT0(16'b1010001010101010),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"))
\u_logic/_al_u2303|u_logic/_al_u2307 (
.a({\u_logic/_al_u723_o ,\u_logic/_al_u2306_o }),
.b({\u_logic/N3ziu6 ,\u_logic/A95iu6_lutinv }),
.c({\u_logic/_al_u151_o ,\u_logic/Rwjax6 }),
.d({\u_logic/Nyiiu6 ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u2303_o ,\u_logic/_al_u2307_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*A*~(0*C))"),
//.LUT1("(~D*~B*A*~(1*C))"),
.INIT_LUT0(16'b0000000000100010),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2306 (
.a({\u_logic/_al_u2303_o ,\u_logic/_al_u2303_o }),
.b({\u_logic/_al_u2304_o ,\u_logic/_al_u2304_o }),
.c({\u_logic/_al_u1094_o ,\u_logic/_al_u1094_o }),
.d({\u_logic/_al_u2305_o ,\u_logic/_al_u2305_o }),
.mi({open_n114517,\u_logic/Pthiu6 }),
.fx({open_n114522,\u_logic/_al_u2306_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u230|u_logic/_al_u236 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Ga0iu6 ,\u_logic/Z90iu6 }),
.f({\u_logic/Mifpw6 [16],\u_logic/Mifpw6 [17]}));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(C*~(0*~D)))"),
//.LUT1("(B*A*~(C*~(1*~D)))"),
.INIT_LUT0(16'b0000100000001000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2310 (
.a({\u_logic/Frziu6_lutinv ,\u_logic/Frziu6_lutinv }),
.b({\u_logic/D1piu6_lutinv ,\u_logic/D1piu6_lutinv }),
.c({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Xxupw6 ,\u_logic/Xxupw6 }),
.mi({open_n114565,\u_logic/Yvjpw6 }),
.fx({open_n114570,\u_logic/Id6ow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*~B))"),
//.LUT1("(~B*A*~(D*C))"),
.INIT_LUT0(16'b0100010101010101),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"))
\u_logic/_al_u2311|u_logic/_al_u2466 (
.a({\u_logic/_al_u2307_o ,\u_logic/_al_u2464_o }),
.b({\u_logic/_al_u2309_o ,\u_logic/_al_u2465_o }),
.c({\u_logic/_al_u1676_o ,\u_logic/_al_u154_o }),
.d({\u_logic/Id6ow6_lutinv ,\u_logic/_al_u672_o }),
.f({\u_logic/_al_u2311_o ,\u_logic/_al_u2466_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~B*A*~(~0*C))"),
//.LUT1("(D*~B*A*~(~1*C))"),
.INIT_LUT0(16'b0000001000000000),
.INIT_LUT1(16'b0010001000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2314 (
.a({\u_logic/_al_u1230_o ,\u_logic/_al_u1230_o }),
.b({\u_logic/_al_u2313_o ,\u_logic/_al_u2313_o }),
.c({\u_logic/_al_u1246_o ,\u_logic/_al_u1246_o }),
.d({\u_logic/_al_u1612_o ,\u_logic/_al_u1612_o }),
.mi({open_n114605,\u_logic/Dxvpw6 }),
.fx({open_n114610,\u_logic/_al_u2314_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~C*(~D*~(B)*~(0)+~D*B*~(0)+~(~D)*B*0+~D*B*0)))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(~C*(~D*~(B)*~(1)+~D*B*~(1)+~(~D)*B*1+~D*B*1)))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b1010101010100000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1010001010100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2315|u_logic/_al_u2179 (
.a({\u_logic/Y40ju6 ,\u_logic/Y40ju6 }),
.b({\u_logic/P14qw6 ,\u_logic/N4kax6 }),
.c({\u_logic/Sojax6 ,\u_logic/P0kax6 }),
.d({\u_logic/W4jax6 ,\u_logic/Sojax6 }),
.e({open_n114615,\u_logic/W4jax6 }),
.f({\u_logic/_al_u2315_o ,\u_logic/Ok9ow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~A*~(~0*~(C*B))))"),
//.LUTF1("(~B*A*~(0*D*~C))"),
//.LUTG0("(~D*~(~A*~(~1*~(C*B))))"),
//.LUTG1("(~B*A*~(1*D*~C))"),
.INIT_LUTF0(16'b0000000010111111),
.INIT_LUTF1(16'b0010001000100010),
.INIT_LUTG0(16'b0000000010101010),
.INIT_LUTG1(16'b0010000000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2319|u_logic/_al_u2320 (
.a({\u_logic/_al_u2314_o ,\u_logic/_al_u1226_o }),
.b({\u_logic/_al_u2316_o ,\u_logic/N4kax6 }),
.c({\u_logic/Xuyiu6_lutinv ,\u_logic/P0kax6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/P14qw6 }),
.e({\u_logic/P14qw6 ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u2319_o ,\u_logic/_al_u2320_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~B*~(D*A)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~(~B*~(D*A)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1110000011000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1110000011000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2325|u_logic/_al_u2372 (
.a({open_n114658,\u_logic/_al_u2112_o }),
.b({open_n114659,\u_logic/_al_u2371_o }),
.c({\u_logic/M8fax6 ,\u_logic/Vo3ju6_lutinv }),
.d({\u_logic/_al_u1101_o ,\u_logic/D31ju6 }),
.f({\u_logic/Nsoiu6_lutinv ,\u_logic/_al_u2372_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(D*C*~(B*A))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2326|u_logic/_al_u4046 (
.a({\u_logic/_al_u1242_o ,open_n114684}),
.b({\u_logic/Nsoiu6_lutinv ,open_n114685}),
.c({\u_logic/_al_u388_o ,\u_logic/Vgjpw6 }),
.d({\u_logic/Ufopw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u2326_o ,\u_logic/_al_u4046_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~B*~(D*~(~C*~A))))"),
//.LUTF1("(D*C*~B*A)"),
//.LUTG0("(~1*~(~B*~(D*~(~C*~A))))"),
//.LUTG1("(D*C*~B*A)"),
.INIT_LUTF0(16'b1111111011001100),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0010000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2327|u_logic/_al_u2370 (
.a({\u_logic/Llaow6_lutinv ,\u_logic/_al_u1606_o }),
.b({\u_logic/Ufopw6 ,\u_logic/_al_u2368_o }),
.c({\u_logic/Vygax6 ,\u_logic/_al_u1491_o }),
.d({\u_logic/Yvjpw6 ,\u_logic/_al_u2369_o }),
.e({open_n114708,\u_logic/Vygax6 }),
.f({\u_logic/_al_u2327_o ,\u_logic/_al_u2370_o }));
EG_PHY_MSLICE #(
//.LUT0("~((~C*~B)*~(A)*~(D)+(~C*~B)*A*~(D)+~((~C*~B))*A*D+(~C*~B)*A*D)"),
//.LUT1("(~D*~C*B*~A)"),
.INIT_LUT0(16'b0101010111111100),
.INIT_LUT1(16'b0000000000000100),
.MODE("LOGIC"))
\u_logic/_al_u2328|u_logic/_al_u2127 (
.a({\u_logic/_al_u2322_o ,\u_logic/Ya1ju6_lutinv }),
.b({\u_logic/_al_u2324_o ,\u_logic/Aujpw6 }),
.c({\u_logic/_al_u2326_o ,\u_logic/P5vpw6 }),
.d({\u_logic/_al_u2327_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u2328_o ,\u_logic/_al_u2127_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(D*B*A))"),
//.LUT1("(~B*A*~(~D*C))"),
.INIT_LUT0(16'b0111000011110000),
.INIT_LUT1(16'b0010001000000010),
.MODE("LOGIC"))
\u_logic/_al_u2330|u_logic/_al_u2114 (
.a({\u_logic/_al_u1062_o ,\u_logic/U98iu6 }),
.b({\u_logic/Np7ow6_lutinv ,\u_logic/_al_u151_o }),
.c({\u_logic/_al_u393_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/Daiax6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u2330_o ,\u_logic/_al_u2114_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*~(~C*~(0*~B))))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~A*~(D*~(~C*~(1*~B))))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000010101010101),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000010001010101),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2331|u_logic/_al_u1679 (
.a({\u_logic/Llaow6_lutinv ,\u_logic/_al_u1677_o }),
.b({\u_logic/_al_u124_o ,\u_logic/Mmjiu6_lutinv }),
.c({\u_logic/_al_u162_o ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/Aujpw6 ,\u_logic/Pthiu6 }),
.e({open_n114771,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u2331_o ,\u_logic/_al_u1679_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(0*D*C))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(B*A*~(1*D*C))"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b1000100010001000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2332|u_logic/_al_u2467 (
.a({open_n114792,\u_logic/_al_u2463_o }),
.b({\u_logic/_al_u2330_o ,\u_logic/_al_u2466_o }),
.c({\u_logic/_al_u2331_o ,\u_logic/Vviiu6 }),
.d({\u_logic/_al_u2329_o ,\u_logic/Ia8iu6_lutinv }),
.e({open_n114795,\u_logic/Skjax6 }),
.f({\u_logic/_al_u2332_o ,\u_logic/_al_u2467_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*(A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D))"),
//.LUTF1("(~B*A*~(~D*C))"),
//.LUTG0("(1*(A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D))"),
//.LUTG1("(~B*A*~(~D*C))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0010001000000010),
.INIT_LUTG0(16'b1111101000010010),
.INIT_LUTG1(16'b0010001000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2335|u_logic/_al_u3981 (
.a({\u_logic/_al_u388_o ,\u_logic/Aujpw6 }),
.b({\u_logic/R3vpw6 ,\u_logic/Hirpw6 }),
.c({\u_logic/T1vpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Vygax6 ,\u_logic/T1vpw6 }),
.e({open_n114818,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u2335_o ,\u_logic/_al_u3981_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C)*~((~(~0*~A)*B))+D*C*~((~(~0*~A)*B))+~(D)*C*(~(~0*~A)*B)+D*C*(~(~0*~A)*B))"),
//.LUTF1("(~C*~B*~(~D*A))"),
//.LUTG0("~(D*~(C)*~((~(~1*~A)*B))+D*C*~((~(~1*~A)*B))+~(D)*C*(~(~1*~A)*B)+D*C*(~(~1*~A)*B))"),
//.LUTG1("(~C*~B*~(~D*A))"),
.INIT_LUTF0(16'b0000100001111111),
.INIT_LUTF1(16'b0000001100000001),
.INIT_LUTG0(16'b0000110000111111),
.INIT_LUTG1(16'b0000001100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2336|u_logic/_al_u3977 (
.a({\u_logic/_al_u2334_o ,\u_logic/_al_u3942_o }),
.b({\u_logic/_al_u1076_o ,\u_logic/_al_u3973_o }),
.c({\u_logic/_al_u2335_o ,\u_logic/_al_u3975_o }),
.d({\u_logic/Xxupw6 ,\u_logic/_al_u3976_o }),
.e({open_n114841,\u_logic/Rwjax6 }),
.f({\u_logic/_al_u2336_o ,\u_logic/_al_u3977_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~(~C*~B)*~(D*A))"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~(~C*~B)*~(D*A))"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0101010011111100),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0101010011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2339|u_logic/_al_u394 (
.a({\u_logic/_al_u1494_o ,open_n114862}),
.b({\u_logic/_al_u1515_o ,open_n114863}),
.c({\u_logic/_al_u2338_o ,\u_logic/Ydopw6 }),
.d({\u_logic/Rwjax6 ,\u_logic/_al_u393_o }),
.f({\u_logic/_al_u2339_o ,\u_logic/L45iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2340|u_logic/_al_u2739 (
.b({\u_logic/Tc8iu6 ,\u_logic/D1piu6_lutinv }),
.c({\u_logic/Xiipw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/_al_u2339_o ,\u_logic/Frziu6_lutinv }),
.f({\u_logic/_al_u2340_o ,\u_logic/_al_u2739_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(~D*C*~B*A)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000000100000),
.MODE("LOGIC"))
\u_logic/_al_u2342|u_logic/_al_u707 (
.a({\u_logic/_al_u723_o ,\u_logic/Llaow6_lutinv }),
.b({\u_logic/Ae0iu6_lutinv ,\u_logic/_al_u706_o }),
.c({\u_logic/_al_u2341_o ,\u_logic/Dxvpw6 }),
.d({\u_logic/U9ypw6 ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u2342_o ,\u_logic/Srbow6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~(D*~A))"),
//.LUT1("(C*~B*~(D*A))"),
.INIT_LUT0(16'b0010000000110000),
.INIT_LUT1(16'b0001000000110000),
.MODE("LOGIC"))
\u_logic/_al_u2344|u_logic/_al_u2058 (
.a({\u_logic/Habiu6 ,\u_logic/Cn7ow6 }),
.b({\u_logic/_al_u2342_o ,\u_logic/_al_u2056_o }),
.c({\u_logic/_al_u2343_o ,\u_logic/_al_u2057_o }),
.d({\u_logic/Irmpw6 ,\u_logic/Wkipw6 }),
.f({\u_logic/_al_u2344_o ,\u_logic/_al_u2058_o }));
EG_PHY_MSLICE #(
//.LUT0("~(A*~((D*~B))*~(C)+A*(D*~B)*~(C)+~(A)*(D*~B)*C+A*(D*~B)*C)"),
//.LUT1("(C*A*~(D*B))"),
.INIT_LUT0(16'b1100010111110101),
.INIT_LUT1(16'b0010000010100000),
.MODE("LOGIC"))
\u_logic/_al_u2345|u_logic/_al_u2343 (
.a({\u_logic/_al_u2340_o ,\u_logic/Ae0iu6_lutinv }),
.b({\u_logic/Cbbiu6_lutinv ,\u_logic/Aujpw6 }),
.c({\u_logic/_al_u2344_o ,\u_logic/P5vpw6 }),
.d({\u_logic/P0kax6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2345_o ,\u_logic/_al_u2343_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(C@D))"),
//.LUTF1("(~A*(B*~(C)*~(D)+~(B)*~(C)*D+B*~(C)*D+B*C*D))"),
//.LUTG0("(B*(C@D))"),
//.LUTG1("(~A*(B*~(C)*~(D)+~(B)*~(C)*D+B*~(C)*D+B*C*D))"),
.INIT_LUTF0(16'b0000110011000000),
.INIT_LUTF1(16'b0100010100000100),
.INIT_LUTG0(16'b0000110011000000),
.INIT_LUTG1(16'b0100010100000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2346|u_logic/_al_u1837 (
.a({\u_logic/_al_u1500_o ,open_n114974}),
.b({\u_logic/Zbjiu6 ,\u_logic/L88iu6_lutinv }),
.c({\u_logic/_al_u1883_o ,\u_logic/N4kax6 }),
.d({\u_logic/Ncjiu6_lutinv ,\u_logic/_al_u1502_o }),
.f({\u_logic/_al_u2346_o ,\u_logic/W7biu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17169)
EG_PHY_MSLICE #(
//.LUT0("(C*~A*~(D*B))"),
//.LUT1("(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000001010000),
.INIT_LUT1(16'b0010101000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2352|u_logic/Nyhpw6_reg (
.a({\u_logic/_al_u2351_o ,\u_logic/_al_u985_o }),
.b({HWDATA[31],\u_logic/Cl1iu6 }),
.c({\u_logic/Ch5iu6_lutinv ,\u_logic/Hb3iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Pdyax6 ,\u_logic/I0opw6 }),
.mi({open_n115010,CDBGPWRUPACK}),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u2352_o ,\u_logic/_al_u1125_o }),
.q({open_n115014,\u_logic/Nyhpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17169)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(0*C)*~(D*~A))"),
//.LUT1("(~B*~(1*C)*~(D*~A))"),
.INIT_LUT0(16'b0010001000110011),
.INIT_LUT1(16'b0000001000000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2355 (
.a({\u_logic/_al_u1599_o ,\u_logic/_al_u1599_o }),
.b({\u_logic/_al_u2354_o ,\u_logic/_al_u2354_o }),
.c({\u_logic/_al_u1606_o ,\u_logic/_al_u1606_o }),
.d({\u_logic/Irmpw6 ,\u_logic/Irmpw6 }),
.mi({open_n115027,\u_logic/Rwjax6 }),
.fx({open_n115032,\u_logic/_al_u2355_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~D*~C*~B))"),
//.LUT1("(~D*~(~C*~B))"),
.INIT_LUT0(16'b0101010101010100),
.INIT_LUT1(16'b0000000011111100),
.MODE("LOGIC"))
\u_logic/_al_u2356|u_logic/_al_u1997 (
.a({open_n115035,\u_logic/_al_u1996_o }),
.b({\u_logic/Iekax6 ,\u_logic/Iekax6 }),
.c({\u_logic/Lgkax6 ,\u_logic/Lgkax6 }),
.d({\u_logic/_al_u1996_o ,\u_logic/Oikax6 }),
.f({\u_logic/_al_u2356_o ,\u_logic/_al_u1997_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2359|u_logic/_al_u3779 (
.a({open_n115056,\u_logic/By4ju6 }),
.b({\u_logic/A3iiu6 ,\u_logic/_al_u3723_o }),
.c({\u_logic/Nxkbx6 [30],\u_logic/Nxkbx6 [23]}),
.d({\u_logic/_al_u2358_o ,\u_logic/n159 [4]}),
.f({\u_logic/_al_u2359_o ,\u_logic/_al_u3779_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18504)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*~(C*~B*~A)))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("~(D*~(1*~(C*~B*~A)))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1110111111111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2360|u_logic/Qjhax6_reg (
.a({\u_logic/_al_u2301_o ,\u_logic/_al_u4574_o }),
.b({\u_logic/_al_u2293_o ,\u_logic/_al_u4611_o }),
.c({\u_logic/_al_u874_o ,\u_logic/_al_u4613_o }),
.clk(clk_pad),
.d({\u_logic/N5fpw6 [29],\u_logic/_al_u4783_o }),
.e({open_n115078,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u2360_o ,open_n115093}),
.q({open_n115097,\u_logic/vis_pc_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(18504)
EG_PHY_LSLICE #(
//.LUTF0("(B*~A*~(D*C))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(B*~A*~(D*C))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0000010001000100),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000010001000100),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2363|u_logic/_al_u4762 (
.a({open_n115098,\u_logic/_al_u4663_o }),
.b({\u_logic/A3iiu6 ,\u_logic/S98ow6 }),
.c({\u_logic/Nxkbx6 [32],\u_logic/Egziu6 }),
.d({\u_logic/_al_u2362_o ,\u_logic/Nxkbx6 [32]}),
.f({\u_logic/_al_u2363_o ,\u_logic/_al_u4762_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2366|u_logic/_al_u1781 (
.a({\u_logic/Llaow6_lutinv ,open_n115123}),
.b({\u_logic/_al_u703_o ,open_n115124}),
.c({\u_logic/_al_u391_o ,\u_logic/R3vpw6 }),
.d({\u_logic/Frziu6_lutinv ,\u_logic/Ls1ju6 }),
.f({\u_logic/_al_u2366_o ,\u_logic/_al_u1781_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~B*~A*~(D*C))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"))
\u_logic/_al_u2367|u_logic/_al_u1077 (
.a({\u_logic/_al_u2365_o ,open_n115145}),
.b({\u_logic/_al_u2366_o ,\u_logic/Aujpw6 }),
.c({\u_logic/_al_u1076_o ,\u_logic/Daiax6 }),
.d({\u_logic/Vzupw6 ,\u_logic/_al_u1076_o }),
.f({\u_logic/_al_u2367_o ,\u_logic/_al_u1077_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2368|u_logic/_al_u1092 (
.a({\u_logic/Qe8iu6_lutinv ,open_n115166}),
.b({\u_logic/Iuaow6_lutinv ,open_n115167}),
.c({\u_logic/S2ziu6_lutinv ,\u_logic/Aujpw6 }),
.d({\u_logic/_al_u641_o ,\u_logic/D1piu6_lutinv }),
.f({\u_logic/_al_u2368_o ,\u_logic/Z89ow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~A*~(~D*C)))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(B*~(~A*~(~D*C)))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1000100011001000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1000100011001000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2369|u_logic/_al_u1832 (
.a({open_n115192,\u_logic/_al_u723_o }),
.b({open_n115193,\u_logic/Ia8iu6_lutinv }),
.c({\u_logic/Sojax6 ,\u_logic/J9kiu6_lutinv }),
.d({\u_logic/_al_u1101_o ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u2369_o ,\u_logic/Habiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2373|u_logic/_al_u2665 (
.a({\u_logic/_al_u2367_o ,\u_logic/_al_u164_o }),
.b({\u_logic/_al_u2370_o ,\u_logic/Kxziu6_lutinv }),
.c({\u_logic/_al_u2372_o ,\u_logic/_al_u124_o }),
.d({\u_logic/_al_u1065_o ,\u_logic/Sy2ju6 }),
.f({\u_logic/_al_u2373_o ,\u_logic/_al_u2665_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*A)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(~D*C*~B*A)"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2374|u_logic/_al_u2129 (
.a({open_n115242,\u_logic/Wh0ju6 }),
.b({\u_logic/Dxvpw6 ,\u_logic/Jgxpw6 }),
.c({\u_logic/P14qw6 ,\u_logic/Sojax6 }),
.d({\u_logic/_al_u1499_o ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u2374_o ,\u_logic/_al_u2129_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~A*~(D*C)))"),
.INIT_LUT0(16'b1100100010001000),
.MODE("LOGIC"))
\u_logic/_al_u2375 (
.a({open_n115267,\u_logic/Dmiiu6 }),
.b({open_n115268,\u_logic/_al_u1596_o }),
.c({open_n115269,\u_logic/_al_u1771_o }),
.d({open_n115272,\u_logic/Vk9ow6 }),
.f({open_n115286,\u_logic/_al_u2375_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1)"),
.INIT_LUT0(16'b1111111101011111),
.INIT_LUT1(16'b0000001101011111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2376 (
.a({\u_logic/Dxvpw6 ,\u_logic/Dxvpw6 }),
.b({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.c({\u_logic/P14qw6 ,\u_logic/P14qw6 }),
.d({\u_logic/Sojax6 ,\u_logic/Sojax6 }),
.mi({open_n115304,\u_logic/Ssjax6 }),
.fx({open_n115309,\u_logic/_al_u2376_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(B*~(~A*~(~D*~C)))"),
//.LUTG0("(~C*D)"),
//.LUTG1("(B*~(~A*~(~D*~C)))"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1000100010001100),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1000100010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2377|u_logic/_al_u1225 (
.a({\u_logic/_al_u2376_o ,open_n115312}),
.b({\u_logic/J9kiu6_lutinv ,open_n115313}),
.c({\u_logic/_al_u1617_o ,\u_logic/W4jax6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/N4kax6 }),
.f({\u_logic/_al_u2377_o ,\u_logic/_al_u1225_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~C*~B*A))"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~D*~(~C*~B*A))"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000000011111101),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000011111101),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2378|u_logic/_al_u2735 (
.a({open_n115338,\u_logic/_al_u2731_o }),
.b({\u_logic/_al_u2375_o ,\u_logic/_al_u2733_o }),
.c({\u_logic/_al_u2377_o ,\u_logic/_al_u2734_o }),
.d({\u_logic/_al_u2374_o ,\u_logic/Hgrpw6 }),
.f({\u_logic/_al_u2378_o ,\u_logic/_al_u2735_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*~C*B*A)"),
//.LUTF1("(C*~A*~(0*~D*B))"),
//.LUTG0("(1*~D*~C*B*A)"),
//.LUTG1("(C*~A*~(1*~D*B))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0101000001010000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0101000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2380|u_logic/_al_u2131 (
.a({\u_logic/_al_u2379_o ,\u_logic/Qxoiu6 }),
.b({\u_logic/_al_u1226_o ,\u_logic/_al_u1768_o }),
.c({\u_logic/_al_u1246_o ,\u_logic/_al_u2130_o }),
.d({\u_logic/_al_u2110_o ,\u_logic/Dxvpw6 }),
.e({\u_logic/N4kax6 ,\u_logic/P14qw6 }),
.f({\u_logic/_al_u2380_o ,\u_logic/_al_u2131_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b0101111000011010),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0101111000011010),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2381|u_logic/_al_u2436 (
.a({open_n115385,\u_logic/P14qw6 }),
.b({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.c({\u_logic/Sojax6 ,\u_logic/Skjax6 }),
.d({\u_logic/_al_u1596_o ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u2381_o ,\u_logic/_al_u2436_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~B*~(D*C*~A))"),
.INIT_LUT0(16'b1101001111011111),
.INIT_LUT1(16'b0010001100110011),
.MODE("LOGIC"))
\u_logic/_al_u2382|u_logic/_al_u2668 (
.a({\u_logic/_al_u2380_o ,\u_logic/Cwiiu6 }),
.b({\u_logic/_al_u2381_o ,\u_logic/P0kax6 }),
.c({\u_logic/_al_u402_o ,\u_logic/Rwjax6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u2382_o ,\u_logic/_al_u2668_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~C*~B))"),
//.LUT1("(A*~(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D))"),
.INIT_LUT0(16'b1010101010101000),
.INIT_LUT1(16'b0000101010001000),
.MODE("LOGIC"))
\u_logic/_al_u2383|u_logic/_al_u2724 (
.a({\u_logic/_al_u2378_o ,\u_logic/Wh0ju6 }),
.b({\u_logic/_al_u2382_o ,\u_logic/Jgxpw6 }),
.c({\u_logic/_al_u1223_o ,\u_logic/N4kax6 }),
.d({\u_logic/Hgrpw6 ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u2383_o ,\u_logic/_al_u2724_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(B*~(D*~A)))"),
//.LUTF1("(A*~(~D*C*~B))"),
//.LUTG0("(~C*~(B*~(D*~A)))"),
//.LUTG1("(A*~(~D*C*~B))"),
.INIT_LUTF0(16'b0000011100000011),
.INIT_LUTF1(16'b1010101010001010),
.INIT_LUTG0(16'b0000011100000011),
.INIT_LUTG1(16'b1010101010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2384|u_logic/_al_u2738 (
.a({\u_logic/_al_u2373_o ,\u_logic/_al_u2719_o }),
.b({\u_logic/_al_u2383_o ,\u_logic/_al_u2737_o }),
.c({\u_logic/_al_u159_o ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/_al_u1101_o ,\u_logic/_al_u391_o }),
.f({\u_logic/_al_u2384_o ,\u_logic/_al_u2738_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~D*~C*~B*~A))"),
//.LUTF1("(B*~(~A*~(~D*C)))"),
//.LUTG0("(~1*~(~D*~C*~B*~A))"),
//.LUTG1("(B*~(~A*~(~D*C)))"),
.INIT_LUTF0(16'b1111111111111110),
.INIT_LUTF1(16'b1000100011001000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1000100011001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2385|u_logic/_al_u2387 (
.a({\u_logic/Geoiu6 ,\u_logic/_al_u2309_o }),
.b({\u_logic/_al_u1094_o ,\u_logic/_al_u2287_o }),
.c({\u_logic/Vo3ju6_lutinv ,\u_logic/_al_u2385_o }),
.d({\u_logic/Xxupw6 ,\u_logic/_al_u2386_o }),
.e({open_n115476,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/_al_u2385_o ,\u_logic/_al_u2387_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~(~B*~A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*C*~(~B*~A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000011100000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000011100000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2388|u_logic/_al_u1764 (
.a({open_n115497,\u_logic/Fq8iu6 }),
.b({\u_logic/Sq3ju6 ,\u_logic/_al_u647_o }),
.c({\u_logic/_al_u1586_o ,\u_logic/Yljiu6 }),
.d({\u_logic/Ls1ju6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u2388_o ,\u_logic/_al_u1764_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~C*~A*~(D*B)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(1*~(~C*~A*~(D*B)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111111011111010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2389|u_logic/_al_u2289 (
.a({open_n115522,\u_logic/I82ju6 }),
.b({open_n115523,\u_logic/Z6aiu6 }),
.c({\u_logic/D6kiu6_lutinv ,\u_logic/G1aow6 }),
.d({\u_logic/_al_u648_o ,\u_logic/T1vpw6 }),
.e({open_n115526,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2389_o ,\u_logic/_al_u2289_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17274)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~((C*~B))*D*~(0)+~(A)*(C*~B)*D*~(0)+~(A)*~((C*~B))*~(D)*0+A*~((C*~B))*~(D)*0+~(A)*(C*~B)*~(D)*0+~(A)*~((C*~B))*D*0+A*~((C*~B))*D*0+~(A)*(C*~B)*D*0)"),
//.LUTF1("(D*~(A*~(C*~B)))"),
//.LUTG0("(~(A)*~((C*~B))*D*~(1)+~(A)*(C*~B)*D*~(1)+~(A)*~((C*~B))*~(D)*1+A*~((C*~B))*~(D)*1+~(A)*(C*~B)*~(D)*1+~(A)*~((C*~B))*D*1+A*~((C*~B))*D*1+~(A)*(C*~B)*D*1)"),
//.LUTG1("(D*~(A*~(C*~B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010100000000),
.INIT_LUTF1(16'b0111010100000000),
.INIT_LUTG0(16'b1101111111011111),
.INIT_LUTG1(16'b0111010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2391|u_logic/Yvjpw6_reg (
.a({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/_al_u2150_o }),
.b({\u_logic/Aujpw6 ,\u_logic/_al_u2151_o }),
.c({\u_logic/P5vpw6 ,\u_logic/_al_u2153_o }),
.clk(clk_pad),
.d({\u_logic/Xxupw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.e({open_n115548,\u_logic/Yvjpw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2391_o ,open_n115563}),
.q({open_n115567,\u_logic/Yvjpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17274)
EG_PHY_MSLICE #(
//.LUT0("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUT1("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2396 (
.a({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.b({\u_logic/Bggiu6_lutinv ,\u_logic/Bggiu6_lutinv }),
.c({\u_logic/_al_u2393_o ,\u_logic/_al_u2393_o }),
.d({key_interrupt[4],key_interrupt[4]}),
.mi({open_n115580,\u_logic/Sq3bx6 }),
.fx({open_n115585,\u_logic/_al_u2396_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUT1("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2398 (
.a({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.b({\u_logic/Eegiu6_lutinv ,\u_logic/Eegiu6_lutinv }),
.c({\u_logic/_al_u2393_o ,\u_logic/_al_u2393_o }),
.d({key_interrupt[5],key_interrupt[5]}),
.mi({open_n115600,\u_logic/Pgjbx6 }),
.fx({open_n115605,\u_logic/_al_u2398_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTF1("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTG0("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
//.LUTG1("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2402|u_logic/_al_u2406 (
.a({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.b({\u_logic/Dagiu6_lutinv ,\u_logic/_al_u2034_o }),
.c({\u_logic/_al_u2393_o ,\u_logic/_al_u2393_o }),
.d({key_interrupt[7],key_interrupt[9]}),
.e({\u_logic/Ie1bx6 ,\u_logic/Oi1bx6 }),
.f({\u_logic/_al_u2402_o ,\u_logic/_al_u2406_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19296)
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(D*B))"),
//.LUTF1("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTG0("(C*~A*~(D*B))"),
//.LUTG1("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2404|u_logic/Ca1bx6_reg (
.a({\u_logic/_al_u1066_o ,\u_logic/_al_u2351_o }),
.b({\u_logic/_al_u2030_o ,HWDATA[10]}),
.c({\u_logic/_al_u2393_o ,\u_logic/_al_u2400_o }),
.clk(clk_pad),
.d({key_interrupt[8],\u_logic/Kwfiu6 }),
.e({\u_logic/W51bx6 ,open_n115631}),
.sr(cpuresetn),
.f({\u_logic/_al_u2404_o ,open_n115646}),
.q({open_n115650,\u_logic/Ca1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19296)
EG_PHY_LSLICE #(
//.LUTF0("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTF1("(D*~(C*B*A))"),
//.LUTG0("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
//.LUTG1("(D*~(C*B*A))"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0111111100000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0111111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2409|u_logic/_al_u2419 (
.a({\u_logic/_al_u2393_o ,\u_logic/_al_u1066_o }),
.b({\u_logic/_al_u2029_o ,\u_logic/G9fiu6_lutinv }),
.c({\u_logic/A9row6_lutinv ,\u_logic/_al_u2393_o }),
.d({key_interrupt[10],key_interrupt[2]}),
.e({open_n115653,\u_logic/Jp9bx6 }),
.f({\u_logic/_al_u2409_o ,\u_logic/_al_u2419_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19821)
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(D*B))"),
//.LUTF1("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTG0("(C*~A*~(D*B))"),
//.LUTG1("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2411|u_logic/Jp9bx6_reg (
.a({\u_logic/_al_u1066_o ,\u_logic/_al_u2351_o }),
.b({\u_logic/_al_u2106_o ,HWDATA[6]}),
.c({\u_logic/_al_u2393_o ,\u_logic/_al_u2419_o }),
.clk(clk_pad),
.d({key_interrupt[11],\u_logic/Kwfiu6 }),
.e({\u_logic/Woiax6 ,open_n115675}),
.sr(cpuresetn),
.f({\u_logic/_al_u2411_o ,open_n115690}),
.q({open_n115694,\u_logic/Jp9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19821)
// ../rtl/topmodule/cortexm0ds_logic.v(20135)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*~D)"),
//.LUTF1("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTG0("(C*~B*~D)"),
//.LUTG1("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000110000),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0000000000110000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2413|u_logic/Kshbx6_reg (
.a({\u_logic/_al_u1066_o ,open_n115695}),
.b({\u_logic/_al_u1903_o ,\u_logic/_al_u1901_o }),
.c({\u_logic/_al_u2393_o ,\u_logic/_al_u2413_o }),
.clk(clk_pad),
.d({RSSI_interrupt,\u_logic/_al_u2351_o }),
.e({\u_logic/Kshbx6 ,open_n115697}),
.sr(cpuresetn),
.f({\u_logic/_al_u2413_o ,open_n115712}),
.q({open_n115716,\u_logic/Kshbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20135)
EG_PHY_LSLICE #(
//.LUTF0("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTF1("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTG0("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
//.LUTG1("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2417|u_logic/_al_u2394 (
.a({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.b({\u_logic/_al_u1915_o ,\u_logic/Hwhiu6_lutinv }),
.c({\u_logic/_al_u2393_o ,\u_logic/_al_u2393_o }),
.d({key_interrupt[1],key_interrupt[12]}),
.e({\u_logic/Ki3bx6 ,\u_logic/Gv1bx6 }),
.f({\u_logic/_al_u2417_o ,\u_logic/_al_u2394_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000001011111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2423|u_logic/_al_u2053 (
.a({open_n115739,HWDATA[16]}),
.b({\u_logic/_al_u2042_o ,\u_logic/K66iu6 }),
.c({\u_logic/_al_u1914_o ,\u_logic/Kwfiu6 }),
.d({\u_logic/_al_u2393_o ,\u_logic/_al_u2052_o }),
.e({open_n115742,\u_logic/Jx1bx6 }),
.f({\u_logic/_al_u2423_o ,\u_logic/_al_u2053_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTF1("(C*~B*~(~D*~A))"),
//.LUTG0("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
//.LUTG1("(C*~B*~(~D*~A))"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0011000000100000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2424|u_logic/_al_u2400 (
.a({\u_logic/_al_u2043_o ,\u_logic/_al_u1066_o }),
.b({\u_logic/_al_u2423_o ,\u_logic/Hcgiu6_lutinv }),
.c({key_interrupt[13],\u_logic/_al_u2393_o }),
.d({\u_logic/Ar1bx6 ,key_interrupt[6]}),
.e({open_n115765,\u_logic/Ca1bx6 }),
.f({\u_logic/_al_u2424_o ,\u_logic/_al_u2400_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*(D*C)*~(0)+~(A)*~(B)*~((D*C))*0+A*~(B)*~((D*C))*0+~(A)*B*~((D*C))*0+A*B*~((D*C))*0+~(A)*~(B)*(D*C)*0+A*~(B)*(D*C)*0)"),
//.LUT1("(A*~(B)*(D*C)*~(1)+~(A)*~(B)*~((D*C))*1+A*~(B)*~((D*C))*1+~(A)*B*~((D*C))*1+A*B*~((D*C))*1+~(A)*~(B)*(D*C)*1+A*~(B)*(D*C)*1)"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0011111111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2426 (
.a({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.b({\u_logic/_al_u2393_o ,\u_logic/_al_u2393_o }),
.c({\u_logic/_al_u2042_o ,\u_logic/_al_u2042_o }),
.d({\u_logic/A9row6_lutinv ,\u_logic/A9row6_lutinv }),
.mi({open_n115798,\u_logic/Mz1bx6 }),
.fx({open_n115803,\u_logic/_al_u2426_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUT1("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2428 (
.a({\u_logic/_al_u1066_o ,\u_logic/_al_u1066_o }),
.b({\u_logic/_al_u2050_o ,\u_logic/_al_u2050_o }),
.c({\u_logic/_al_u2393_o ,\u_logic/_al_u2393_o }),
.d({key_interrupt[15],key_interrupt[15]}),
.mi({open_n115818,\u_logic/S32bx6 }),
.fx({open_n115823,\u_logic/_al_u2428_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2430 (
.b({open_n115828,\u_logic/_al_u1890_o }),
.c({open_n115829,\u_logic/Ukbpw6_lutinv }),
.d({open_n115832,\u_logic/_al_u2393_o }),
.f({open_n115846,\u_logic/_al_u2430_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19278)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(A*~(~D*C)))"),
//.LUTF1("(C*~B*~(~D*~A))"),
//.LUTG0("(~B*~(A*~(~D*C)))"),
//.LUTG1("(C*~B*~(~D*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000100110001),
.INIT_LUTF1(16'b0011000000100000),
.INIT_LUTG0(16'b0001000100110001),
.INIT_LUTG1(16'b0011000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2431|u_logic/U31bx6_reg (
.a({\u_logic/_al_u1891_o ,\u_logic/Sbxiu6 }),
.b({\u_logic/_al_u2430_o ,\u_logic/_al_u1891_o }),
.c({interrupt_UART,interrupt_UART}),
.clk(clk_pad),
.d({\u_logic/S11bx6 ,\u_logic/S11bx6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2431_o ,\u_logic/J5phu6 }),
.q({open_n115872,\u_logic/U31bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19278)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2434|u_logic/_al_u2130 (
.b({\u_logic/_al_u2433_o ,open_n115875}),
.c({\u_logic/Dxvpw6 ,\u_logic/W4jax6 }),
.d({\u_logic/Qz0ju6 ,\u_logic/P0kax6 }),
.f({\u_logic/_al_u2434_o ,\u_logic/_al_u2130_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(B*~(~D*~(~C*~A)))"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1100110000000100),
.MODE("LOGIC"))
\u_logic/_al_u2435|u_logic/_al_u2443 (
.a({\u_logic/_al_u2434_o ,\u_logic/_al_u723_o }),
.b({\u_logic/_al_u1232_o ,\u_logic/Dmiiu6 }),
.c({\u_logic/Hgrpw6 ,\u_logic/_al_u1226_o }),
.d({\u_logic/U9ypw6 ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u2435_o ,\u_logic/_al_u2443_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~A*~(0*D*C)))"),
//.LUT1("(B*~(~A*~(1*D*C)))"),
.INIT_LUT0(16'b1000100010001000),
.INIT_LUT1(16'b1100100010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2437 (
.a({\u_logic/_al_u2435_o ,\u_logic/_al_u2435_o }),
.b({\u_logic/Uyiiu6 ,\u_logic/Uyiiu6 }),
.c({\u_logic/Dmiiu6 ,\u_logic/Dmiiu6 }),
.d({\u_logic/_al_u2436_o ,\u_logic/_al_u2436_o }),
.mi({open_n115932,\u_logic/Ydopw6 }),
.fx({open_n115937,\u_logic/_al_u2437_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C*B)*~(0*A))"),
//.LUTF1("(~(D*~C)*~(B*A))"),
//.LUTG0("(~(D*C*B)*~(1*A))"),
//.LUTG1("(~(D*~C)*~(B*A))"),
.INIT_LUTF0(16'b0011111111111111),
.INIT_LUTF1(16'b0111000001110111),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0111000001110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2440|u_logic/_al_u2671 (
.a({\u_logic/Vviiu6 ,\u_logic/_al_u2108_o }),
.b({\u_logic/Ea7ow6_lutinv ,\u_logic/W0piu6_lutinv }),
.c({\u_logic/_al_u2439_o ,\u_logic/_al_u159_o }),
.d({\u_logic/_al_u160_o ,\u_logic/_al_u1232_o }),
.e({open_n115942,\u_logic/W4jax6 }),
.f({\u_logic/_al_u2440_o ,\u_logic/_al_u2671_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(A*~(~D*C*B))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(A*~(~D*C*B))"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1010101000101010),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1010101000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2441|u_logic/_al_u1820 (
.a({\u_logic/_al_u2440_o ,\u_logic/_al_u1779_o }),
.b({\u_logic/_al_u2374_o ,\u_logic/F6ziu6 }),
.c({\u_logic/_al_u1230_o ,\u_logic/Wh0ju6 }),
.d({\u_logic/U9ypw6 ,\u_logic/P0kax6 }),
.f({\u_logic/_al_u2441_o ,\u_logic/_al_u1820_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*A)"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b0000000000100000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\u_logic/_al_u2444|u_logic/_al_u2669 (
.a({\u_logic/Wh0ju6 ,\u_logic/Vviiu6 }),
.b({\u_logic/_al_u2110_o ,\u_logic/_al_u2668_o }),
.c({\u_logic/Jckax6 ,\u_logic/_al_u159_o }),
.d({\u_logic/N4kax6 ,\u_logic/Dxvpw6 }),
.f({\u_logic/J8ziu6 ,\u_logic/_al_u2669_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(~D*~(~A*~(C*B)))"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(~D*~(~A*~(C*B)))"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000000011101010),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0000000011101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2445|u_logic/_al_u2734 (
.a({\u_logic/_al_u2443_o ,open_n116007}),
.b({\u_logic/Dd7ow6 ,\u_logic/_al_u1228_o }),
.c({\u_logic/J8ziu6 ,\u_logic/Sojax6 }),
.d({\u_logic/_al_u1101_o ,\u_logic/Nbkiu6_lutinv }),
.f({\u_logic/_al_u2445_o ,\u_logic/_al_u2734_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(~D*A))"),
//.LUT1("(~C*~(B*~D))"),
.INIT_LUT0(16'b0011111100010101),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"))
\u_logic/_al_u2447|u_logic/_al_u3984 (
.a({open_n116032,\u_logic/I82ju6 }),
.b({\u_logic/Frziu6_lutinv ,\u_logic/Ls1ju6 }),
.c({\u_logic/_al_u413_o ,\u_logic/_al_u151_o }),
.d({\u_logic/_al_u2148_o ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u2447_o ,\u_logic/_al_u3984_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~B*~(~A*~(~0*C)))"),
//.LUT1("(D*~B*~(~A*~(~1*C)))"),
.INIT_LUT0(16'b0011001000000000),
.INIT_LUT1(16'b0010001000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2449 (
.a({\u_logic/_al_u1103_o ,\u_logic/_al_u1103_o }),
.b({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.c({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/P5vpw6 ,\u_logic/P5vpw6 }),
.mi({open_n116065,\u_logic/R3vpw6 }),
.fx({open_n116070,\u_logic/_al_u2449_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(0*D*C))"),
//.LUTF1("(~B*A*~(D*C))"),
//.LUTG0("(~B*A*~(1*D*C))"),
//.LUTG1("(~B*A*~(D*C))"),
.INIT_LUTF0(16'b0010001000100010),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2451|u_logic/_al_u2722 (
.a({\u_logic/_al_u2450_o ,\u_logic/_al_u2720_o }),
.b({\u_logic/Uu9ow6_lutinv ,\u_logic/_al_u2721_o }),
.c({\u_logic/_al_u388_o ,\u_logic/_al_u2148_o }),
.d({\u_logic/Xxupw6 ,\u_logic/_al_u161_o }),
.e({open_n116075,\u_logic/D6kiu6_lutinv }),
.f({\u_logic/_al_u2451_o ,\u_logic/_al_u2722_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*B*~(C*~A))"),
//.LUT1("(B*~(~D*~(C*A)))"),
.INIT_LUT0(16'b1000110000000000),
.INIT_LUT1(16'b1100110010000000),
.MODE("LOGIC"))
\u_logic/_al_u2453|u_logic/_al_u2386 (
.a({\u_logic/Ae0iu6_lutinv ,\u_logic/_al_u161_o }),
.b({\u_logic/Yecpw6_lutinv ,\u_logic/_al_u391_o }),
.c({\u_logic/D6kiu6_lutinv ,\u_logic/Aujpw6 }),
.d({\u_logic/_al_u388_o ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u2453_o ,\u_logic/_al_u2386_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2455|u_logic/_al_u1785 (
.a({open_n116116,\u_logic/A95iu6_lutinv }),
.b({\u_logic/_al_u706_o ,\u_logic/_al_u1235_o }),
.c({\u_logic/_al_u1223_o ,\u_logic/X8ziu6_lutinv }),
.d({\u_logic/Htyiu6 ,\u_logic/P14qw6 }),
.f({\u_logic/_al_u2455_o ,\u_logic/_al_u1785_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(D@(B*~(~0*~A))))"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("(C*(D@(B*~(~1*~A))))"),
//.LUTG1("(D*~C*~B*A)"),
.INIT_LUTF0(16'b0111000010000000),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0011000011000000),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2457|u_logic/_al_u4026 (
.a({\u_logic/_al_u2454_o ,\u_logic/_al_u3942_o }),
.b({\u_logic/_al_u1086_o ,\u_logic/_al_u3973_o }),
.c({\u_logic/_al_u2455_o ,\u_logic/_al_u4025_o }),
.d({\u_logic/_al_u2456_o ,\u_logic/P0kax6 }),
.e({open_n116139,\u_logic/Rwjax6 }),
.f({\u_logic/_al_u2457_o ,\u_logic/_al_u4026_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2459|u_logic/_al_u2606 (
.a({\u_logic/A3iiu6 ,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u2293_o ,\u_logic/_al_u2293_o }),
.c({\u_logic/N5fpw6 [11],\u_logic/N5fpw6 [4]}),
.d({\u_logic/Nxkbx6 [13],\u_logic/Nxkbx6 [6]}),
.f({\u_logic/Z79pw6 ,\u_logic/_al_u2606_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(D*~(C*B))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2460|u_logic/_al_u2501 (
.b({\u_logic/_al_u2301_o ,\u_logic/_al_u2293_o }),
.c({\u_logic/_al_u748_o ,\u_logic/N5fpw6 [20]}),
.d({\u_logic/Z79pw6 ,\u_logic/_al_u2500_o }),
.f({\u_logic/_al_u2460_o ,\u_logic/_al_u2501_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*~D)"),
//.LUTF1("(~B*A*~(D*C))"),
//.LUTG0("(C*B*~D)"),
//.LUTG1("(~B*A*~(D*C))"),
.INIT_LUTF0(16'b0000000011000000),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b0000000011000000),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2463|u_logic/_al_u2309 (
.a({\u_logic/_al_u2462_o ,open_n116206}),
.b({\u_logic/_al_u1808_o ,\u_logic/N3ziu6 }),
.c({\u_logic/L0niu6 ,\u_logic/_al_u2308_o }),
.d({\u_logic/_al_u1681_o ,\u_logic/_al_u960_o }),
.f({\u_logic/_al_u2463_o ,\u_logic/_al_u2309_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*C)*~(D*B))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(~A*~(1*C)*~(D*B))"),
//.LUTG1("(~D*C*B*A)"),
.INIT_LUTF0(16'b0001000101010101),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2464|u_logic/_al_u1674 (
.a({\u_logic/W0piu6_lutinv ,\u_logic/_al_u1673_o }),
.b({\u_logic/_al_u723_o ,\u_logic/_al_u1102_o }),
.c({\u_logic/Ia8iu6_lutinv ,\u_logic/Wkjiu6 }),
.d({\u_logic/Qxoiu6 ,\u_logic/_al_u1243_o }),
.e({open_n116233,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u2464_o ,\u_logic/_al_u1674_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*C*~(0*~B)))"),
//.LUT1("(A*~(~D*C*~(1*~B)))"),
.INIT_LUT0(16'b1010101000001010),
.INIT_LUT1(16'b1010101000101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2465 (
.a({\u_logic/_al_u1101_o ,\u_logic/_al_u1101_o }),
.b({\u_logic/R9aiu6 ,\u_logic/R9aiu6 }),
.c({\u_logic/M8fax6 ,\u_logic/M8fax6 }),
.d({\u_logic/U9ypw6 ,\u_logic/U9ypw6 }),
.mi({open_n116266,\u_logic/Wkipw6 }),
.fx({open_n116271,\u_logic/_al_u2465_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D))"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b1010000000100010),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\u_logic/_al_u2469|u_logic/_al_u2165 (
.a({\u_logic/_al_u1232_o ,\u_logic/Uyiiu6 }),
.b({\u_logic/Dmiiu6 ,\u_logic/_al_u2164_o }),
.c({\u_logic/_al_u1101_o ,\u_logic/_al_u723_o }),
.d({\u_logic/_al_u2468_o ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u2469_o ,\u_logic/_al_u2165_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*~B))"),
//.LUT1("(~B*~A*~(D*C))"),
.INIT_LUT0(16'b0100010101010101),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"))
\u_logic/_al_u2470|u_logic/_al_u1765 (
.a({\u_logic/_al_u2287_o ,\u_logic/_al_u1764_o }),
.b({\u_logic/_al_u2469_o ,\u_logic/Mmjiu6_lutinv }),
.c({\u_logic/Z89ow6 ,\u_logic/_al_u151_o }),
.d({\u_logic/_al_u1586_o ,\u_logic/_al_u388_o }),
.f({\u_logic/_al_u2470_o ,\u_logic/T1jiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*C*~(~D*B)))"),
//.LUTF1("(A*~(D*C*B))"),
//.LUTG0("(~A*~(1*C*~(~D*B)))"),
//.LUTG1("(A*~(D*C*B))"),
.INIT_LUTF0(16'b0101010101010101),
.INIT_LUTF1(16'b0010101010101010),
.INIT_LUTG0(16'b0000010101000101),
.INIT_LUTG1(16'b0010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2471|u_logic/_al_u2002 (
.a({\u_logic/_al_u2470_o ,\u_logic/_al_u1788_o }),
.b({\u_logic/W0piu6_lutinv ,\u_logic/Mmjiu6_lutinv }),
.c({\u_logic/_al_u1235_o ,\u_logic/_al_u151_o }),
.d({\u_logic/P14qw6 ,\u_logic/Ae0iu6_lutinv }),
.e({open_n116316,\u_logic/_al_u388_o }),
.f({\u_logic/_al_u2471_o ,\u_logic/_al_u2002_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17998)
EG_PHY_MSLICE #(
//.LUT0("~(C*~A*~(D*B))"),
//.LUT1("(D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111110101111),
.INIT_LUT1(16'b0000001000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2472|u_logic/Kn2qw6_reg (
.a({\u_logic/Rzciu6_lutinv ,\u_logic/_al_u985_o }),
.b({\u_logic/R9yax6 ,\u_logic/Vk1iu6 }),
.c({\u_logic/W5ypw6 ,\u_logic/Fb2iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Ztupw6 ,\u_logic/Fl2qw6 }),
.f({\u_logic/Ar1iu6 ,open_n116351}),
.q({open_n116355,\u_logic/Kn2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17998)
// ../rtl/topmodule/cortexm0ds_logic.v(18432)
EG_PHY_LSLICE #(
//.LUTF0("(~(0*B)*~(D*C*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(1*B)*~(D*C*A))"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101111111111111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0001001100110011),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2473|u_logic/Jxgax6_reg (
.a({open_n116356,\u_logic/_al_u410_o }),
.b({\u_logic/Ar1iu6 ,\u_logic/Fgpiu6 }),
.c({\u_logic/C1wpw6 ,\u_logic/Gr2qw6 }),
.clk(clk_pad),
.d({\u_logic/HALTED ,\u_logic/Isjpw6 }),
.e({open_n116358,\u_logic/Xnbax6 }),
.mi({open_n116360,1'b0}),
.sr(RSTn_pad),
.f({\u_logic/_al_u2473_o ,\u_logic/_al_u3520_o }),
.q({open_n116375,\u_logic/Jxgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18432)
EG_PHY_MSLICE #(
//.LUT0("(~C*~(0*~(A*~(~D*~B))))"),
//.LUT1("(~C*~(1*~(A*~(~D*~B))))"),
.INIT_LUT0(16'b0000111100001111),
.INIT_LUT1(16'b0000101000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2474 (
.a({\u_logic/_al_u2467_o ,\u_logic/_al_u2467_o }),
.b({\u_logic/_al_u2471_o ,\u_logic/_al_u2471_o }),
.c({\u_logic/_al_u2473_o ,\u_logic/_al_u2473_o }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/Ae0iu6_lutinv }),
.mi({open_n116388,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.fx({open_n116393,\u_logic/_al_u2474_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~D*A*~(~C*B))"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000010100010),
.MODE("LOGIC"))
\u_logic/_al_u2476|u_logic/_al_u1087 (
.a({\u_logic/_al_u1101_o ,open_n116396}),
.b({\u_logic/M8fax6 ,open_n116397}),
.c({\u_logic/Wkipw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/Xxupw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u2476_o ,\u_logic/Owoiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(0*~D*C)*~(B*~A))"),
//.LUTF1("(C*~B*~D)"),
//.LUTG0("(~(1*~D*C)*~(B*~A))"),
//.LUTG1("(C*~B*~D)"),
.INIT_LUTF0(16'b1011101110111011),
.INIT_LUTF1(16'b0000000000110000),
.INIT_LUTG0(16'b1011101100001011),
.INIT_LUTG1(16'b0000000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2477|u_logic/_al_u2698 (
.a({open_n116418,\u_logic/_al_u2567_o }),
.b({\u_logic/_al_u2476_o ,\u_logic/Et8iu6_lutinv }),
.c({\u_logic/_al_u388_o ,\u_logic/vis_pc_o[0] }),
.d({\u_logic/_al_u2474_o ,\u_logic/U8jax6 }),
.e({open_n116421,\u_logic/Ydopw6 }),
.f({\u_logic/Ok8iu6 ,\u_logic/_al_u2698_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(D*~(C*B))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2481|u_logic/_al_u2509 (
.b({\u_logic/A3iiu6 ,\u_logic/_al_u2293_o }),
.c({\u_logic/Nxkbx6 [17],\u_logic/N5fpw6 [22]}),
.d({\u_logic/_al_u2480_o ,\u_logic/_al_u2508_o }),
.f({\u_logic/_al_u2481_o ,\u_logic/_al_u2509_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17422)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~A*~(D*C))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(~B*~A*~(D*C))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111011101110),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111111011101110),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2482|u_logic/Ofmpw6_reg (
.a({\u_logic/_al_u2474_o ,\u_logic/_al_u1266_o }),
.b({\u_logic/_al_u2473_o ,\u_logic/_al_u1267_o }),
.c({\u_logic/Z54iu6 ,\u_logic/Q44iu6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Chwpw6 ,\u_logic/Wvgax6 }),
.f({\u_logic/Dbmiu6 ,HWDATA[11]}),
.q({open_n116488,\u_logic/Ofmpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17422)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2484|u_logic/_al_u2537 (
.b({open_n116491,\u_logic/_al_u2301_o }),
.c({\u_logic/N5fpw6 [16],\u_logic/_al_u755_o }),
.d({\u_logic/_al_u2293_o ,\u_logic/_al_u2536_o }),
.f({\u_logic/_al_u2484_o ,\u_logic/_al_u2537_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2486|u_logic/_al_u2498 (
.a({\u_logic/_al_u2474_o ,\u_logic/_al_u2474_o }),
.b({\u_logic/_al_u2473_o ,\u_logic/_al_u2473_o }),
.c({\u_logic/G64iu6 ,\u_logic/B74iu6 }),
.d({\u_logic/Pbbbx6 ,\u_logic/Fjdbx6 }),
.f({\u_logic/E8miu6 ,\u_logic/Hzliu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u248|u_logic/_al_u260 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/L90iu6 ,\u_logic/X80iu6 }),
.f({\u_logic/Mifpw6 [19],\u_logic/Mifpw6 [20]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2492|u_logic/_al_u2480 (
.a({\u_logic/A3iiu6 ,\u_logic/_al_u2301_o }),
.b({\u_logic/_al_u2293_o ,\u_logic/_al_u2293_o }),
.c({\u_logic/N5fpw6 [18],\u_logic/_al_u776_o }),
.d({\u_logic/Nxkbx6 [20],\u_logic/N5fpw6 [15]}),
.f({\u_logic/Xf7pw6 ,\u_logic/_al_u2480_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2493|u_logic/_al_u2528 (
.a({open_n116584,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u2301_o ,\u_logic/_al_u2293_o }),
.c({\u_logic/_al_u797_o ,\u_logic/N5fpw6 [10]}),
.d({\u_logic/Xf7pw6 ,\u_logic/Nxkbx6 [12]}),
.f({\u_logic/_al_u2493_o ,\u_logic/_al_u2528_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u2496|u_logic/_al_u2488 (
.a({\u_logic/_al_u2301_o ,\u_logic/_al_u2301_o }),
.b({\u_logic/_al_u2293_o ,\u_logic/_al_u2293_o }),
.c({\u_logic/_al_u804_o ,\u_logic/_al_u790_o }),
.d({\u_logic/N5fpw6 [19],\u_logic/N5fpw6 [17]}),
.f({\u_logic/_al_u2496_o ,\u_logic/_al_u2488_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2497|u_logic/_al_u2622 (
.a({open_n116625,\u_logic/A3iiu6 }),
.b({\u_logic/A3iiu6 ,\u_logic/_al_u2293_o }),
.c({\u_logic/Nxkbx6 [21],\u_logic/N5fpw6 [8]}),
.d({\u_logic/_al_u2496_o ,\u_logic/Nxkbx6 [10]}),
.f({\u_logic/_al_u2497_o ,\u_logic/_al_u2622_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*B)*~(0*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~C*~(D*B)*~(1*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000001100001111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2504|u_logic/_al_u2485 (
.a({\u_logic/A3iiu6 ,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u2293_o ,\u_logic/_al_u2301_o }),
.c({\u_logic/N5fpw6 [21],\u_logic/_al_u2484_o }),
.d({\u_logic/Nxkbx6 [23],\u_logic/_al_u783_o }),
.e({open_n116652,\u_logic/Nxkbx6 [18]}),
.f({\u_logic/Me6pw6 ,\u_logic/_al_u2485_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2506|u_logic/_al_u2538 (
.a({\u_logic/_al_u2474_o ,\u_logic/_al_u2474_o }),
.b({\u_logic/_al_u2473_o ,\u_logic/_al_u2473_o }),
.c({\u_logic/P74iu6 ,\u_logic/E54iu6 }),
.d({\u_logic/Tlebx6 ,\u_logic/Xpxax6 }),
.f({\u_logic/Ctliu6 ,\u_logic/Mjmiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2510|u_logic/_al_u2514 (
.a({\u_logic/_al_u2474_o ,\u_logic/_al_u2474_o }),
.b({\u_logic/_al_u2473_o ,\u_logic/_al_u2473_o }),
.c({\u_logic/W74iu6 ,\u_logic/R84iu6 }),
.d({\u_logic/Ztgbx6 ,\u_logic/F8cbx6 }),
.f({\u_logic/Kv9iu6 ,\u_logic/Mzkiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~A*~(D*B))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0001000001010000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2513|u_logic/_al_u4783 (
.a({open_n116717,\u_logic/_al_u4663_o }),
.b({\u_logic/A3iiu6 ,\u_logic/Cmziu6_lutinv }),
.c({\u_logic/Nxkbx6 [27],\u_logic/_al_u4782_o }),
.d({\u_logic/_al_u2512_o ,\u_logic/Zsfpw6 [13]}),
.f({\u_logic/_al_u2513_o ,\u_logic/_al_u4783_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTG0("(D*~(C*B))"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTG0(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2517 (
.b({open_n116740,\u_logic/A3iiu6 }),
.c({open_n116741,\u_logic/Nxkbx6 [28]}),
.d({open_n116744,\u_logic/_al_u2516_o }),
.f({open_n116762,\u_logic/_al_u2517_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19715)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~(D*~A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(C*B*~(D*~A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2522|u_logic/Qc5bx6_reg (
.a({\u_logic/_al_u2474_o ,\u_logic/_al_u2607_o }),
.b({\u_logic/_al_u2473_o ,\u_logic/_al_u2608_o }),
.c({\u_logic/Pl4iu6 ,\u_logic/_al_u2609_o }),
.clk(clk_pad),
.d({\u_logic/N61qw6 ,\u_logic/Jl8iu6 }),
.f({\u_logic/Y3niu6 ,open_n116786}),
.q({open_n116790,\u_logic/Qc5bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19715)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2524 (
.c({open_n116795,\u_logic/N5fpw6 [9]}),
.d({open_n116798,\u_logic/_al_u2293_o }),
.f({open_n116816,\u_logic/_al_u2524_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(D*B)*~(0*A))"),
//.LUT1("(~C*~(D*B)*~(1*A))"),
.INIT_LUT0(16'b0000001100001111),
.INIT_LUT1(16'b0000000100000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2525 (
.a({\u_logic/A3iiu6 ,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u2301_o ,\u_logic/_al_u2301_o }),
.c({\u_logic/_al_u2524_o ,\u_logic/_al_u2524_o }),
.d({\u_logic/_al_u945_o ,\u_logic/_al_u945_o }),
.mi({open_n116834,\u_logic/Nxkbx6 [11]}),
.fx({open_n116839,\u_logic/_al_u2525_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(B*~A)*~(0)*~(D)+~(B*~A)*0*~(D)+~(~(B*~A))*0*D+~(B*~A)*0*D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*(~(B*~A)*~(1)*~(D)+~(B*~A)*1*~(D)+~(~(B*~A))*1*D+~(B*~A)*1*D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000000010110000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111000010110000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2526|u_logic/_al_u3435 (
.a({\u_logic/_al_u2474_o ,\u_logic/_al_u2611_o }),
.b({\u_logic/_al_u2473_o ,\u_logic/_al_u2612_o }),
.c({\u_logic/J44iu6 ,\u_logic/Ntkbx6 [3]}),
.d({\u_logic/Gwxpw6 ,\u_logic/_al_u3380_o }),
.e({open_n116844,\u_logic/vis_pc_o[2] }),
.f({\u_logic/Ivmiu6 ,\u_logic/E0ihu6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2529|u_logic/_al_u2505 (
.b({\u_logic/_al_u2301_o ,\u_logic/_al_u2301_o }),
.c({\u_logic/_al_u952_o ,\u_logic/_al_u818_o }),
.d({\u_logic/_al_u2528_o ,\u_logic/Me6pw6 }),
.f({\u_logic/_al_u2529_o ,\u_logic/_al_u2505_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2530|u_logic/_al_u2546 (
.a({\u_logic/_al_u2474_o ,\u_logic/_al_u2474_o }),
.b({\u_logic/_al_u2473_o ,\u_logic/_al_u2473_o }),
.c({\u_logic/Q44iu6 ,\u_logic/S54iu6 }),
.d({\u_logic/C07bx6 ,\u_logic/Z47ax6 }),
.f({\u_logic/Womiu6 ,\u_logic/Cemiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2532|u_logic/_al_u2541 (
.b({open_n116913,\u_logic/_al_u2301_o }),
.c({\u_logic/N5fpw6 [6],\u_logic/_al_u762_o }),
.d({\u_logic/_al_u2293_o ,\u_logic/_al_u2540_o }),
.f({\u_logic/_al_u2532_o ,\u_logic/_al_u2541_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C)*~(0*B))"),
//.LUTF1("(~C*~(D*B)*~(0*A))"),
//.LUTG0("(~A*~(D*C)*~(1*B))"),
//.LUTG1("(~C*~(D*B)*~(1*A))"),
.INIT_LUTF0(16'b0000010101010101),
.INIT_LUTF1(16'b0000001100001111),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2533|u_logic/_al_u4682 (
.a({\u_logic/A3iiu6 ,\u_logic/_al_u4663_o }),
.b({\u_logic/_al_u2301_o ,\u_logic/Egziu6 }),
.c({\u_logic/_al_u2532_o ,\u_logic/Cmziu6_lutinv }),
.d({\u_logic/_al_u938_o ,\u_logic/Zsfpw6 [20]}),
.e({\u_logic/Nxkbx6 [8],\u_logic/Nxkbx6 [22]}),
.f({\u_logic/_al_u2533_o ,\u_logic/_al_u4682_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18969)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~(D*~A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(C*B*~(D*~A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2534|u_logic/Wtxax6_reg (
.a({\u_logic/_al_u2474_o ,\u_logic/_al_u2618_o }),
.b({\u_logic/_al_u2473_o ,\u_logic/_al_u2619_o }),
.c({\u_logic/Gk4iu6 ,\u_logic/_al_u2620_o }),
.clk(clk_pad),
.d({\u_logic/Asupw6 ,\u_logic/Jl8iu6 }),
.f({\u_logic/Krkiu6 ,open_n116974}),
.q({open_n116978,\u_logic/Wtxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18969)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2536|u_logic/_al_u2544 (
.a({\u_logic/A3iiu6 ,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u2293_o ,\u_logic/_al_u2293_o }),
.c({\u_logic/N5fpw6 [12],\u_logic/N5fpw6 [14]}),
.d({\u_logic/Nxkbx6 [14],\u_logic/Nxkbx6 [16]}),
.f({\u_logic/_al_u2536_o ,\u_logic/_al_u2544_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2545|u_logic/_al_u2540 (
.a({open_n117003,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u2301_o ,\u_logic/_al_u2293_o }),
.c({\u_logic/_al_u769_o ,\u_logic/N5fpw6 [13]}),
.d({\u_logic/_al_u2544_o ,\u_logic/Nxkbx6 [15]}),
.f({\u_logic/_al_u2545_o ,\u_logic/_al_u2540_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u2548|u_logic/_al_u2617 (
.a({\u_logic/_al_u2301_o ,\u_logic/_al_u2301_o }),
.b({\u_logic/_al_u2293_o ,\u_logic/_al_u2293_o }),
.c({\u_logic/_al_u881_o ,\u_logic/_al_u902_o }),
.d({\u_logic/N5fpw6 [5],\u_logic/N5fpw6 [3]}),
.f({\u_logic/_al_u2548_o ,\u_logic/_al_u2617_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2549|u_logic/_al_u2490 (
.a({open_n117048,\u_logic/_al_u2474_o }),
.b({\u_logic/A3iiu6 ,\u_logic/_al_u2473_o }),
.c({\u_logic/Nxkbx6 [7],\u_logic/N64iu6 }),
.d({\u_logic/_al_u2548_o ,\u_logic/Syjbx6 }),
.f({\u_logic/_al_u2549_o ,\u_logic/F5miu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~D*~C)*~(~0*~A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~B*~(~D*~C)*~(~1*~A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0010001000100000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0011001100110000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u254|u_logic/_al_u4659 (
.a({open_n117073,\u_logic/_al_u4622_o }),
.b({open_n117074,\u_logic/_al_u4658_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u4494_o }),
.d({\u_logic/E90iu6 ,\u_logic/_al_u4618_o }),
.e({open_n117077,\u_logic/_al_u4496_o }),
.f({\u_logic/Mifpw6 [1],\u_logic/_al_u4659_o }));
// ../rtl/peripherals/FM_Display.v(31)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~A*~(D*C))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111011101110),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2550|FM_Display/reg2_b2 (
.a({\u_logic/_al_u2474_o ,\u_logic/_al_u1294_o }),
.b({\u_logic/_al_u2473_o ,\u_logic/_al_u1300_o }),
.c({\u_logic/Xi4iu6 ,\u_logic/S54iu6 }),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\u_logic/Ua9bx6 ,\u_logic/Wvgax6 }),
.sr(RSTn_pad),
.f({\u_logic/Zokiu6 ,HWDATA[15]}),
.q({open_n117113,\FM_Display/percentage_digit [2]})); // ../rtl/peripherals/FM_Display.v(31)
EG_PHY_MSLICE #(
//.LUT0("(~C*~(D*~(~B*~A)))"),
//.LUT1("(~B*~A*~(D*C))"),
.INIT_LUT0(16'b0000000100001111),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"))
\u_logic/_al_u2553|u_logic/_al_u4467 (
.a({\u_logic/_al_u2552_o ,\u_logic/_al_u159_o }),
.b({\u_logic/_al_u390_o ,\u_logic/_al_u1612_o }),
.c({\u_logic/Vo3ju6_lutinv ,\u_logic/P5vpw6 }),
.d({\u_logic/_al_u1089_o ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2553_o ,\u_logic/Tucow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~B*A*~(D*C))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"))
\u_logic/_al_u2554|u_logic/_al_u1450 (
.a({\u_logic/_al_u2553_o ,open_n117134}),
.b({\u_logic/_al_u2067_o ,\u_logic/Sy2ju6 }),
.c({\u_logic/_al_u159_o ,\u_logic/Vgjpw6 }),
.d({\u_logic/_al_u161_o ,\u_logic/_al_u197_o }),
.f({\u_logic/_al_u2554_o ,\u_logic/_al_u1450_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000101000100010),
.MODE("LOGIC"))
\u_logic/_al_u2555|u_logic/_al_u195 (
.a({\u_logic/_al_u2554_o ,open_n117155}),
.b({\u_logic/Z3dpw6_lutinv ,open_n117156}),
.c({\u_logic/_al_u388_o ,\u_logic/Vzupw6 }),
.d({\u_logic/Vygax6 ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u2555_o ,\u_logic/Sq3ju6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17268)
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*~C)*~(B*~A))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(~(~D*~C)*~(B*~A))"),
//.LUTG1("(C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101110110000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1011101110110000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2556|u_logic/Aujpw6_reg (
.a({open_n117177,\u_logic/_al_u2738_o }),
.b({\u_logic/Hbbow6 ,\u_logic/_al_u2754_o }),
.c({\u_logic/Ufopw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Kxziu6_lutinv ,\u_logic/Aujpw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2556_o ,open_n117195}),
.q({open_n117199,\u_logic/Aujpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17268)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0000001100000100),
.INIT_LUT1(16'b1111111101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2557 (
.a({\u_logic/Vo3ju6_lutinv ,\u_logic/Vo3ju6_lutinv }),
.b({\u_logic/Ya1ju6_lutinv ,\u_logic/Ya1ju6_lutinv }),
.c({\u_logic/Jiiiu6 ,\u_logic/Jiiiu6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/Dxvpw6 }),
.mi({open_n117212,\u_logic/Hirpw6 }),
.fx({open_n117217,\u_logic/_al_u2557_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(B*~(D*~C)))"),
//.LUTF1("(D*~(A*~(C*~B)))"),
//.LUTG0("(~A*~(B*~(D*~C)))"),
//.LUTG1("(D*~(A*~(C*~B)))"),
.INIT_LUTF0(16'b0001010100010001),
.INIT_LUTF1(16'b0111010100000000),
.INIT_LUTG0(16'b0001010100010001),
.INIT_LUTG1(16'b0111010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2559|u_logic/_al_u2708 (
.a({\u_logic/_al_u2555_o ,\u_logic/_al_u1756_o }),
.b({\u_logic/_al_u2558_o ,\u_logic/N3ziu6 }),
.c({\u_logic/F9vpw6 ,\u_logic/_al_u161_o }),
.d({\u_logic/T1vpw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2559_o ,\u_logic/_al_u2708_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~0*~C*~B*~A))"),
//.LUT1("(D*~(~1*~C*~B*~A))"),
.INIT_LUT0(16'b1111111000000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2560 (
.a({\u_logic/_al_u651_o ,\u_logic/_al_u651_o }),
.b({\u_logic/Sq3ju6 ,\u_logic/Sq3ju6 }),
.c({\u_logic/_al_u690_o ,\u_logic/_al_u690_o }),
.d({\u_logic/_al_u1612_o ,\u_logic/_al_u1612_o }),
.mi({open_n117256,\u_logic/Yvjpw6 }),
.fx({open_n117261,\u_logic/_al_u2560_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~A*~(0*D))"),
//.LUT1("(~C*~B*~A*~(1*D))"),
.INIT_LUT0(16'b0000000100000001),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2561 (
.a({\u_logic/_al_u2560_o ,\u_logic/_al_u2560_o }),
.b({\u_logic/_al_u2291_o ,\u_logic/_al_u2291_o }),
.c({\u_logic/Nz2ju6 ,\u_logic/Nz2ju6 }),
.d({\u_logic/_al_u165_o ,\u_logic/_al_u165_o }),
.mi({open_n117276,\u_logic/_al_u670_o }),
.fx({open_n117281,\u_logic/_al_u2561_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(0*B*A))"),
//.LUTF1("(A*~(D*C*B))"),
//.LUTG0("(~(D*C)*~(1*B*A))"),
//.LUTG1("(A*~(D*C*B))"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b0010101010101010),
.INIT_LUTG0(16'b0000011101110111),
.INIT_LUTG1(16'b0010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2563|u_logic/_al_u1157 (
.a({\u_logic/_al_u2561_o ,\u_logic/Llaow6_lutinv }),
.b({\u_logic/Wrcpw6 ,\u_logic/_al_u165_o }),
.c({\u_logic/Llaow6_lutinv ,\u_logic/_al_u154_o }),
.d({\u_logic/Hirpw6 ,\u_logic/_al_u160_o }),
.e({open_n117286,\u_logic/Qyniu6_lutinv }),
.f({\u_logic/_al_u2563_o ,\u_logic/_al_u1157_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(A*~((C*B))*~(0)+A*(C*B)*~(0)+~(A)*(C*B)*0+A*(C*B)*0))"),
//.LUT1("(D*(A*~((C*B))*~(1)+A*(C*B)*~(1)+~(A)*(C*B)*1+A*(C*B)*1))"),
.INIT_LUT0(16'b1010101000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2564 (
.a({\u_logic/_al_u1630_o ,\u_logic/_al_u1630_o }),
.b({\u_logic/F3aiu6 ,\u_logic/F3aiu6 }),
.c({\u_logic/N98iu6_lutinv ,\u_logic/N98iu6_lutinv }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/Ae0iu6_lutinv }),
.mi({open_n117319,\u_logic/R3vpw6 }),
.fx({open_n117324,\u_logic/_al_u2564_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~D*~C*B*~A)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~D*~C*B*~A)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000000000100),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2567|u_logic/_al_u692 (
.a({\u_logic/_al_u2559_o ,open_n117327}),
.b({\u_logic/_al_u2563_o ,open_n117328}),
.c({\u_logic/_al_u2564_o ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u2566_o ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u2567_o ,\u_logic/Iuaow6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17891)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(D*~C*B))"),
//.LUTF1("(D*~(~C*~B))"),
//.LUTG0("~(A*~(D*~C*B))"),
//.LUTG1("(D*~(~C*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101110101010101),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b0101110101010101),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2568|u_logic/Jrypw6_reg (
.a({open_n117353,\u_logic/_al_u966_o }),
.b({\u_logic/_al_u1512_o ,\u_logic/Llaow6_lutinv }),
.c({\u_logic/P5vpw6 ,\u_logic/Ufopw6 }),
.ce(\u_logic/Jy9iu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u160_o ,\u_logic/Wfspw6 }),
.f({\u_logic/U6qow6_lutinv ,open_n117371}),
.q({open_n117375,\u_logic/Jrypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17891)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u2569|u_logic/_al_u3784 (
.a({open_n117376,\u_logic/T05ju6 }),
.b({open_n117377,\u_logic/Rskax6 }),
.c({\u_logic/U6qow6_lutinv ,\u_logic/Ubypw6 }),
.d({\u_logic/_al_u2567_o ,\u_logic/vis_primask_o }),
.f({\u_logic/_al_u2569_o ,\u_logic/_al_u3784_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u2570|u_logic/_al_u1156 (
.c({\u_logic/D1piu6_lutinv ,\u_logic/Xxupw6 }),
.d({\u_logic/_al_u690_o ,\u_logic/Aujpw6 }),
.f({\u_logic/Et8iu6_lutinv ,\u_logic/Qyniu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18721)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~C*B))"),
//.LUT1("(D*~(C*~B*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110011),
.INIT_LUT1(16'b1110111100000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2571|u_logic/L6lax6_reg (
.a({\u_logic/_al_u2567_o ,open_n117422}),
.b({\u_logic/Wofiu6_lutinv ,\u_logic/_al_u2571_o }),
.c({\u_logic/Et8iu6_lutinv ,\u_logic/J0iax6 }),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({\u_logic/L6lax6 ,\u_logic/_al_u2569_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2571_o ,open_n117435}),
.q({open_n117439,\u_logic/L6lax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18721)
// ../rtl/topmodule/cortexm0ds_logic.v(18474)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(0*~D)*~(C*~A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(B*~(1*~D)*~(C*~A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101110011),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0111001111111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2573|u_logic/Fahax6_reg (
.a({\u_logic/A3iiu6 ,\u_logic/_al_u4537_o }),
.b({\u_logic/_al_u2293_o ,\u_logic/_al_u4680_o }),
.c({\u_logic/N5fpw6 [23],\u_logic/Zgziu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Nxkbx6 [25],\u_logic/_al_u2647_o }),
.e({open_n117441,\u_logic/vis_pc_o[19] }),
.sr(cpuresetn),
.f({\u_logic/Ew5pw6 ,open_n117456}),
.q({open_n117460,\u_logic/vis_pc_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(18474)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C)*~(0*B))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~A*~(D*C)*~(1*B))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0000010101010101),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2574|u_logic/_al_u4808 (
.a({open_n117461,\u_logic/_al_u4663_o }),
.b({\u_logic/_al_u2301_o ,\u_logic/Egziu6 }),
.c({\u_logic/_al_u832_o ,\u_logic/Cmziu6_lutinv }),
.d({\u_logic/Ew5pw6 ,\u_logic/Zsfpw6 [26]}),
.e({open_n117464,\u_logic/Nxkbx6 [28]}),
.f({\u_logic/_al_u2574_o ,\u_logic/_al_u4808_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("~((C*A)*~(B)*~(D)+(C*A)*B*~(D)+~((C*A))*B*D+(C*A)*B*D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0011001101011111),
.MODE("LOGIC"))
\u_logic/_al_u2575|u_logic/_al_u963 (
.a({\u_logic/Nsoiu6_lutinv ,open_n117485}),
.b({\u_logic/D6kiu6_lutinv ,open_n117486}),
.c({\u_logic/_al_u963_o ,\u_logic/Wkipw6 }),
.d({\u_logic/Xxupw6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u2575_o ,\u_logic/_al_u963_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(D*~(~C*~(B*A)))"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b1111100000000000),
.MODE("LOGIC"))
\u_logic/_al_u2576|u_logic/_al_u1783 (
.a({\u_logic/_al_u2317_o ,open_n117507}),
.b({\u_logic/_al_u1246_o ,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/Skjax6 ,\u_logic/Vzupw6 }),
.d({\u_logic/Ydopw6 ,\u_logic/_al_u1107_o }),
.f({\u_logic/_al_u2576_o ,\u_logic/Ot7ow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*D*C*B))"),
//.LUT1("(~A*~(1*D*C*B))"),
.INIT_LUT0(16'b0101010101010101),
.INIT_LUT1(16'b0001010101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2577 (
.a({\u_logic/_al_u2576_o ,\u_logic/_al_u2576_o }),
.b({\u_logic/_al_u1101_o ,\u_logic/_al_u1101_o }),
.c({\u_logic/_al_u388_o ,\u_logic/_al_u388_o }),
.d({\u_logic/Irmpw6 ,\u_logic/Irmpw6 }),
.mi({open_n117540,\u_logic/Wkipw6 }),
.fx({open_n117545,\u_logic/U19iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~A*~(~0*~B))"),
//.LUTF1("(C*~B*~D)"),
//.LUTG0("(D*C*~A*~(~1*~B))"),
//.LUTG1("(C*~B*~D)"),
.INIT_LUTF0(16'b0100000000000000),
.INIT_LUTF1(16'b0000000000110000),
.INIT_LUTG0(16'b0101000000000000),
.INIT_LUTG1(16'b0000000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2578|u_logic/_al_u1569 (
.a({open_n117548,\u_logic/_al_u1157_o }),
.b({\u_logic/_al_u2575_o ,\u_logic/Wofiu6_lutinv }),
.c({\u_logic/U19iu6 ,\u_logic/Hlliu6 }),
.d({\u_logic/_al_u2474_o ,\u_logic/Rskax6 }),
.e({open_n117551,\u_logic/Ubypw6 }),
.f({\u_logic/Ql8iu6 ,\u_logic/_al_u1569_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*(0@C@A)))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(B*~(D*(1@C@A)))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b1000010011001100),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0100100011001100),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2579|u_logic/_al_u2759 (
.a({\u_logic/Ql8iu6 ,\u_logic/Vtzhu6 }),
.b({\u_logic/_al_u2474_o ,\u_logic/_al_u2758_o }),
.c({\u_logic/vis_tbit_o ,\u_logic/_al_u2645_o }),
.d({\u_logic/Tgkbx6 ,\u_logic/_al_u2293_o }),
.e({open_n117574,\u_logic/vis_pc_o[1] }),
.f({\u_logic/_al_u2579_o ,\u_logic/_al_u2759_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2583|u_logic/_al_u4801 (
.a({open_n117595,\u_logic/Cmziu6_lutinv }),
.b({\u_logic/A3iiu6 ,\u_logic/_al_u2647_o }),
.c({\u_logic/Nxkbx6 [26],\u_logic/vis_pc_o[23] }),
.d({\u_logic/_al_u2582_o ,\u_logic/Zsfpw6 [23]}),
.f({\u_logic/_al_u2583_o ,\u_logic/Lqcow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(20129)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~(C*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(~D*~(C*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111111111000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2584|u_logic/Kqhbx6_reg (
.a({\u_logic/Ok8iu6 ,open_n117620}),
.b({\u_logic/_al_u2473_o ,\u_logic/H34iu6 }),
.c({\u_logic/K84iu6 ,\u_logic/Wvgax6 }),
.ce(\u_logic/n1199 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[24] ,\u_logic/_al_u1178_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2584_o ,HWDATA[4]}),
.q({open_n117640,\u_logic/Kqhbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20129)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*~A))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0010101000111111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u2585|u_logic/_al_u4732 (
.a({open_n117641,\u_logic/_al_u4721_o }),
.b({open_n117642,\u_logic/Xibiu6 }),
.c({\u_logic/U19iu6 ,\u_logic/F4iax6 }),
.d({\u_logic/_al_u2575_o ,\u_logic/vis_ipsr_o[5] }),
.f({\u_logic/_al_u2585_o ,\u_logic/_al_u4732_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(0*D)*~(C*~A))"),
//.LUTF1("~((C*B)*~(D)*~(A)+(C*B)*D*~(A)+~((C*B))*D*A+(C*B)*D*A)"),
//.LUTG0("(~B*~(1*D)*~(C*~A))"),
//.LUTG1("~((C*B)*~(D)*~(A)+(C*B)*D*~(A)+~((C*B))*D*A+(C*B)*D*A)"),
.INIT_LUTF0(16'b0010001100100011),
.INIT_LUTF1(16'b0001010110111111),
.INIT_LUTG0(16'b0000000000100011),
.INIT_LUTG1(16'b0001010110111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2586|u_logic/_al_u4489 (
.a({\u_logic/_al_u2474_o ,\u_logic/_al_u4277_o }),
.b({\u_logic/_al_u2585_o ,\u_logic/_al_u4488_o }),
.c({\u_logic/vis_control_o ,\u_logic/_al_u4450_o }),
.d({\u_logic/Nwbbx6 ,\u_logic/Q2eow6 }),
.e({open_n117665,HRDATA[17]}),
.f({\u_logic/_al_u2586_o ,\u_logic/_al_u4489_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19779)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*~(~B*A)))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101111100001111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2588|u_logic/Cq7bx6_reg (
.a({\u_logic/A3iiu6 ,\u_logic/_al_u4589_o }),
.b({\u_logic/_al_u2293_o ,\u_logic/_al_u4574_o }),
.c({\u_logic/N5fpw6 [27],\u_logic/_al_u4737_o }),
.clk(clk_pad),
.d({\u_logic/Nxkbx6 [29],\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/Ov4pw6 ,open_n117699}),
.q({open_n117703,\u_logic/vis_pc_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(19779)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2589|u_logic/_al_u308 (
.b({\u_logic/_al_u2301_o ,open_n117706}),
.c({\u_logic/_al_u860_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/Ov4pw6 ,\u_logic/_al_u307_o }),
.f({\u_logic/_al_u2589_o ,\u_logic/Mifpw6 [28]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2590|u_logic/_al_u2593 (
.a({\u_logic/Ql8iu6 ,\u_logic/Ql8iu6 }),
.b({\u_logic/_al_u2474_o ,\u_logic/_al_u2474_o }),
.c({\u_logic/Ibqpw6 ,\u_logic/vis_apsr_o[1] }),
.d({\u_logic/vis_apsr_o[0] ,\u_logic/Sx3qw6 }),
.f({\u_logic/_al_u2590_o ,\u_logic/_al_u2593_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20253)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~(D*~A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(C*B*~(D*~A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2591|u_logic/Tgkbx6_reg (
.a({\u_logic/Ok8iu6 ,\u_logic/_al_u2574_o }),
.b({\u_logic/_al_u2473_o ,\u_logic/_al_u2579_o }),
.c({\u_logic/F94iu6 ,\u_logic/_al_u2580_o }),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[27] ,\u_logic/Jl8iu6 }),
.f({\u_logic/_al_u2591_o ,open_n117773}),
.q({open_n117777,\u_logic/Tgkbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20253)
// ../rtl/topmodule/cortexm0ds_logic.v(19140)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110001010000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2594|u_logic/Zszax6_reg (
.a({\u_logic/Ok8iu6 ,\u_logic/_al_u1138_o }),
.b({\u_logic/_al_u2473_o ,\u_logic/T24iu6 }),
.c({\u_logic/M94iu6 ,\u_logic/I8lax6 }),
.ce(\u_logic/n1008 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[28] ,\u_logic/Wvgax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2594_o ,HWDATA[0]}),
.q({open_n117793,\u_logic/Zszax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19140)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*~A)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~D*C*B*~A)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0000000001000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000001000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2597|u_logic/_al_u1154 (
.a({\u_logic/Ok8iu6 ,\u_logic/_al_u1138_o }),
.b({\u_logic/_al_u2474_o ,\u_logic/J71iu6_lutinv }),
.c({\u_logic/vis_pc_o[29] ,\u_logic/I8lax6 }),
.d({\u_logic/F6dbx6 ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u2597_o ,\u_logic/_al_u1154_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(A*~(D*C*~B))"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(A*~(D*C*~B))"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b1000101010101010),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b1000101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2604|u_logic/_al_u3373 (
.a({\u_logic/Jz8iu6 ,open_n117818}),
.b({\u_logic/_al_u2474_o ,\u_logic/L6lax6 }),
.c({\u_logic/_al_u2585_o ,\u_logic/P5vpw6 }),
.d({\u_logic/vis_primask_o ,\u_logic/J0iax6 }),
.f({\u_logic/_al_u2604_o ,\u_logic/_al_u3373_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2607|u_logic/_al_u2489 (
.b({\u_logic/_al_u2301_o ,\u_logic/A3iiu6 }),
.c({\u_logic/_al_u924_o ,\u_logic/Nxkbx6 [19]}),
.d({\u_logic/_al_u2606_o ,\u_logic/_al_u2488_o }),
.f({\u_logic/_al_u2607_o ,\u_logic/_al_u2489_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~A*~(C*B))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0000000000010101),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2608|u_logic/_al_u1300 (
.a({\u_logic/Ok8iu6 ,\u_logic/_al_u1299_o }),
.b({\u_logic/_al_u2474_o ,\u_logic/J71iu6_lutinv }),
.c({\u_logic/Qc5bx6 ,\u_logic/I8lax6 }),
.d({\u_logic/vis_pc_o[4] ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u2608_o ,\u_logic/_al_u1300_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2609|u_logic/_al_u2996 (
.a({\u_logic/Ql8iu6 ,\u_logic/Cs1iu6 }),
.b({\u_logic/_al_u2473_o ,\u_logic/Ar1iu6 }),
.c({\u_logic/Oh4iu6 ,\u_logic/K6gax6 }),
.d({\u_logic/vis_ipsr_o[5] ,\u_logic/Usnpw6 }),
.f({\u_logic/_al_u2609_o ,\u_logic/_al_u2996_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2611|u_logic/_al_u2500 (
.a({open_n117905,\u_logic/A3iiu6 }),
.b({open_n117906,\u_logic/_al_u2301_o }),
.c({\u_logic/_al_u917_o ,\u_logic/_al_u811_o }),
.d({\u_logic/_al_u2301_o ,\u_logic/Nxkbx6 [22]}),
.f({\u_logic/_al_u2611_o ,\u_logic/_al_u2500_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u2613|u_logic/_al_u2542 (
.a({open_n117927,\u_logic/_al_u2474_o }),
.b({open_n117928,\u_logic/_al_u2473_o }),
.c({\u_logic/_al_u2612_o ,\u_logic/L54iu6 }),
.d({\u_logic/_al_u2611_o ,\u_logic/Sb8ax6 }),
.f({\u_logic/_al_u2613_o ,\u_logic/Ugmiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19134)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110001010000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1100110001010000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2614|u_logic/Yqzax6_reg (
.a({\u_logic/Ok8iu6 ,\u_logic/_al_u1197_o }),
.b({\u_logic/_al_u2474_o ,\u_logic/Gk4iu6 }),
.c({\u_logic/vis_pc_o[2] ,\u_logic/I8lax6 }),
.ce(\u_logic/n987 ),
.clk(clk_pad),
.d({\u_logic/T5yax6 ,\u_logic/Wvgax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2614_o ,HWDATA[7]}),
.q({open_n117968,\u_logic/Yqzax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19134)
// ../rtl/topmodule/cortexm0ds_logic.v(18968)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*~D))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001111110011),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2615|u_logic/Xrxax6_reg (
.a({\u_logic/Ql8iu6 ,open_n117969}),
.b({\u_logic/_al_u2473_o ,\u_logic/_al_u2761_o }),
.c({\u_logic/Df4iu6 ,\u_logic/Jl8iu6 }),
.clk(clk_pad),
.d({\u_logic/vis_ipsr_o[3] ,\u_logic/_al_u2759_o }),
.f({\u_logic/_al_u2615_o ,open_n117984}),
.q({open_n117988,\u_logic/Xrxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18968)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2618|u_logic/_al_u2612 (
.a({open_n117989,\u_logic/A3iiu6 }),
.b({\u_logic/A3iiu6 ,\u_logic/_al_u2293_o }),
.c({\u_logic/Nxkbx6 [5],\u_logic/N5fpw6 [2]}),
.d({\u_logic/_al_u2617_o ,\u_logic/Nxkbx6 [4]}),
.f({\u_logic/_al_u2618_o ,\u_logic/_al_u2612_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2623|u_logic/_al_u2521 (
.b({\u_logic/_al_u2301_o ,\u_logic/_al_u2293_o }),
.c({\u_logic/_al_u888_o ,\u_logic/N5fpw6 [7]}),
.d({\u_logic/_al_u2622_o ,\u_logic/A5niu6 }),
.f({\u_logic/_al_u2623_o ,\u_logic/_al_u2521_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*~(D*~C*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1100010011001100),
.MODE("LOGIC"))
\u_logic/_al_u2625|u_logic/_al_u2596 (
.a({\u_logic/Ql8iu6 ,\u_logic/Ql8iu6 }),
.b({\u_logic/Z0niu6 ,\u_logic/_al_u2473_o }),
.c({\u_logic/Wofiu6_lutinv ,\u_logic/T94iu6 }),
.d({\u_logic/F26bx6 ,\u_logic/vis_apsr_o[2] }),
.f({\u_logic/_al_u2625_o ,\u_logic/_al_u2596_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*~A)"),
//.LUT1("(~C*~(D*~B*A))"),
.INIT_LUT0(16'b0000010000000000),
.INIT_LUT1(16'b0000110100001111),
.MODE("LOGIC"))
\u_logic/_al_u2629|u_logic/_al_u1748 (
.a({\u_logic/Jxaiu6 ,\u_logic/Mmjiu6_lutinv }),
.b({\u_logic/_al_u703_o ,\u_logic/_al_u1681_o }),
.c({\u_logic/_al_u2565_o ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/Fpaow6_lutinv ,\u_logic/R3vpw6 }),
.f({\u_logic/Glapw6 ,\u_logic/_al_u1748_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u262|ethernet_i0/mac_test0/gmii_rx_dv_d0_reg (
.a({\u_logic/Wanow6_lutinv ,\u_logic/V6now6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r7_o[21] ,\u_logic/vis_r2_o[21] }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\u_logic/vis_r5_o[21] ,\u_logic/vis_r4_o[21] }),
.mi({open_n118076,\ethernet_i0/gmii_rx_dv }),
.sr(RSTn_pad),
.f({\u_logic/_al_u262_o ,\u_logic/_al_u261_o }),
.q({open_n118091,\ethernet_i0/mac_test0/gmii_rx_dv_d0 })); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~0*D*C*B))"),
//.LUT1("(A*~(~1*D*C*B))"),
.INIT_LUT0(16'b0010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2630 (
.a({\u_logic/Glapw6 ,\u_logic/Glapw6 }),
.b({\u_logic/_al_u2556_o ,\u_logic/_al_u2556_o }),
.c({\u_logic/_al_u391_o ,\u_logic/_al_u391_o }),
.d({\u_logic/Frziu6_lutinv ,\u_logic/Frziu6_lutinv }),
.mi({open_n118104,\u_logic/Vzupw6 }),
.fx({open_n118109,\u_logic/_al_u2630_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2631|u_logic/_al_u198 (
.b({\u_logic/_al_u1149_o ,open_n118114}),
.c({\u_logic/Ldoiu6_lutinv ,\u_logic/Vzupw6 }),
.d({\u_logic/F3aiu6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2631_o ,\u_logic/Md0iu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~C*A*~(~D*B))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0000101000000010),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2633|u_logic/_al_u1078 (
.a({\u_logic/Kxziu6_lutinv ,\u_logic/_al_u1075_o }),
.b({\u_logic/Kr7ow6_lutinv ,\u_logic/L0niu6 }),
.c({\u_logic/_al_u686_o ,\u_logic/_al_u1077_o }),
.d({\u_logic/F9vpw6 ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u2633_o ,\u_logic/Uzaiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~A*~(~0*D*C)))"),
//.LUT1("(B*~(~A*~(~1*D*C)))"),
.INIT_LUT0(16'b1100100010001000),
.INIT_LUT1(16'b1000100010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2634 (
.a({\u_logic/_al_u2633_o ,\u_logic/_al_u2633_o }),
.b({\u_logic/_al_u162_o ,\u_logic/_al_u162_o }),
.c({\u_logic/Edapw6_lutinv ,\u_logic/Edapw6_lutinv }),
.d({\u_logic/_al_u2252_o ,\u_logic/_al_u2252_o }),
.mi({open_n118167,\u_logic/Hirpw6 }),
.fx({open_n118172,\u_logic/_al_u2634_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("~(C*~D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1111111100001111),
.MODE("LOGIC"))
\u_logic/_al_u2636|u_logic/_al_u2874 (
.a({open_n118175,\u_logic/R05iu6 }),
.b({open_n118176,\u_logic/_al_u2847_o }),
.c({\u_logic/_al_u2635_o ,\u_logic/H34iu6 }),
.d({\u_logic/_al_u2632_o ,\u_logic/Wkipw6 }),
.f({\u_logic/LOCKUP ,\u_logic/_al_u2874_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~C*~(~D*~A)))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(B*~(~C*~(~D*~A)))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1100000011000100),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1100000011000100),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2637|u_logic/_al_u2707 (
.a({\u_logic/_al_u124_o ,\u_logic/_al_u960_o }),
.b({\u_logic/_al_u651_o ,\u_logic/_al_u1094_o }),
.c({\u_logic/_al_u162_o ,\u_logic/_al_u690_o }),
.d({\u_logic/_al_u690_o ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u2637_o ,\u_logic/_al_u2707_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18838)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u263|u_logic/Uuqax6_reg (
.a({\u_logic/U9now6_lutinv ,\u_logic/Cpqow6 }),
.b({\u_logic/Panow6_lutinv ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r3_o[21] ,\u_logic/vis_r3_o[19] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[21] ,\u_logic/vis_r0_o[19] }),
.mi({open_n118224,\u_logic/D4miu6 }),
.f({\u_logic/_al_u263_o ,\u_logic/_al_u522_o }),
.q({open_n118240,\u_logic/vis_r2_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(18838)
EG_PHY_MSLICE #(
//.LUT0("(~0*~(C*B*~(D*~A)))"),
//.LUT1("(~1*~(C*B*~(D*~A)))"),
.INIT_LUT0(16'b0111111100111111),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2640 (
.a({\u_logic/P0biu6 ,\u_logic/P0biu6 }),
.b({\u_logic/_al_u2630_o ,\u_logic/_al_u2630_o }),
.c({\u_logic/_al_u2639_o ,\u_logic/_al_u2639_o }),
.d({\u_logic/_al_u2253_o ,\u_logic/_al_u2253_o }),
.mi({open_n118253,\u_logic/F9vpw6 }),
.fx({open_n118258,\u_logic/_al_u2640_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*D*~C*~(B*A))"),
//.LUT1("(1*D*~C*~(B*A))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0000011100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2641 (
.a({\u_logic/Uzaiu6 ,\u_logic/Uzaiu6 }),
.b({\u_logic/B6cpw6 ,\u_logic/B6cpw6 }),
.c({\u_logic/I8lax6 ,\u_logic/I8lax6 }),
.d({\u_logic/P5vpw6 ,\u_logic/P5vpw6 }),
.mi({open_n118273,\u_logic/Vygax6 }),
.fx({open_n118278,\u_logic/_al_u2641_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUTF1("(~C*~A*~(D*~B))"),
//.LUTG0("(A*(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
//.LUTG1("(~C*~A*~(D*~B))"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000010000000101),
.INIT_LUTG0(16'b1010001000100010),
.INIT_LUTG1(16'b0000010000000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2642|u_logic/_al_u4428 (
.a({\u_logic/_al_u2640_o ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/P0biu6 ,\u_logic/_al_u4358_o }),
.c({\u_logic/_al_u2641_o ,\u_logic/_al_u2858_o }),
.d({\u_logic/_al_u2251_o ,HRDATA[13]}),
.e({open_n118283,\u_logic/W2jax6 }),
.f({\u_logic/Li5iu6 ,\u_logic/_al_u4428_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~B*~(~D*A)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~(~B*~(~D*A)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000110000001110),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000110000001110),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2644|u_logic/_al_u2961 (
.a({open_n118304,\u_logic/Iiliu6 }),
.b({open_n118305,\u_logic/Ay8iu6 }),
.c({\u_logic/vis_pc_o[0] ,\u_logic/J71iu6_lutinv }),
.d({\u_logic/_al_u2571_o ,\u_logic/_al_u1150_o }),
.f({\u_logic/Vtzhu6 ,\u_logic/_al_u2961_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17602)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*~D))"),
//.LUT1("(D*~(C*~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001111110011),
.INIT_LUT1(16'b1110111100000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2645|u_logic/Pcrpw6_reg (
.a({\u_logic/_al_u2567_o ,open_n118330}),
.b({\u_logic/Wofiu6_lutinv ,\u_logic/_al_u4734_o }),
.c({\u_logic/Et8iu6_lutinv ,\u_logic/_al_u4724_o }),
.clk(clk_pad),
.d({\u_logic/J0iax6 ,\u_logic/_al_u4660_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2645_o ,open_n118344}),
.q({open_n118348,\u_logic/vis_ipsr_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(17602)
// ../rtl/topmodule/cortexm0ds_logic.v(18049)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~(D*~A))"),
//.LUTF1("(D*B*~(C)+D*~(B)*C+~(D)*B*C+D*B*C)"),
//.LUTG0("~(C*B*~(D*~A))"),
//.LUTG1("(D*B*~(C)+D*~(B)*C+~(D)*B*C+D*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111100111111),
.INIT_LUTF1(16'b1111110011000000),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b1111110011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2646|u_logic/Sx3qw6_reg (
.a({open_n118349,\u_logic/_al_u2359_o }),
.b({\u_logic/_al_u2645_o ,\u_logic/_al_u2593_o }),
.c({\u_logic/vis_pc_o[1] ,\u_logic/_al_u2594_o }),
.clk(clk_pad),
.d({\u_logic/Vtzhu6 ,\u_logic/Jl8iu6 }),
.f({\u_logic/R0ghu6 ,open_n118368}),
.q({open_n118372,\u_logic/Sx3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18049)
EG_PHY_MSLICE #(
//.LUT0("(~D*~A*~(C*B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000010101),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2648|u_logic/_al_u1153 (
.a({open_n118373,\u_logic/Cz7ju6 }),
.b({open_n118374,\u_logic/J71iu6_lutinv }),
.c({\u_logic/Et8iu6_lutinv ,\u_logic/I8lax6 }),
.d({\u_logic/_al_u2647_o ,\u_logic/Wvgax6 }),
.f({\u_logic/Egziu6 ,\u_logic/_al_u1153_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17886)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~(C*B))"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2649|u_logic/Npypw6_reg (
.b({open_n118397,\u_logic/vis_pc_o[1] }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/Zdiax6 }),
.ce(\u_logic/Fkliu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u2569_o ,\u_logic/_al_u1364_o }),
.sr(cpuresetn),
.f({\u_logic/Zgziu6_lutinv ,open_n118410}),
.q({open_n118414,\u_logic/vis_control_o })); // ../rtl/topmodule/cortexm0ds_logic.v(17886)
// ../rtl/topmodule/cortexm0ds_logic.v(19999)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u264|u_logic/Trebx6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Kmqow6 }),
.b({\u_logic/N9now6_lutinv ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r6_o[21] ,\u_logic/vis_r5_o[22] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[21] ,\u_logic/vis_r6_o[22] }),
.mi({open_n118418,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u264_o ,\u_logic/_al_u538_o }),
.q({open_n118434,\u_logic/vis_r2_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(19999)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)))"),
//.LUTF1("(~C*~(~B*~D))"),
//.LUTG0("(D*~(1*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)))"),
//.LUTG1("(~C*~(~B*~D))"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b0000111100001100),
.INIT_LUTG0(16'b1010110000000000),
.INIT_LUTG1(16'b0000111100001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2651|u_logic/_al_u4546 (
.a({open_n118435,\u_logic/_al_u3870_o }),
.b({\u_logic/Zgziu6_lutinv ,\u_logic/Mu3ju6 }),
.c({\u_logic/_al_u2650_o ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/Egziu6 ,\u_logic/_al_u4545_o }),
.e({open_n118438,\u_logic/_al_u4454_o }),
.f({\u_logic/_al_u2651_o ,\u_logic/Piziu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~D))"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(B*~(C*~D))"),
//.LUTG1("(~C*B*~D)"),
.INIT_LUTF0(16'b1100110000001100),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b1100110000001100),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2652|u_logic/_al_u4461 (
.b({\u_logic/Wofiu6_lutinv ,\u_logic/_al_u4460_o }),
.c({\u_logic/Rskax6 ,\u_logic/_al_u4454_o }),
.d({\u_logic/_al_u1157_o ,\u_logic/_al_u3904_o }),
.f({\u_logic/_al_u2652_o ,\u_logic/_al_u4461_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(0*~(~D*~C))*~(B*~A))"),
//.LUT1("(~(1*~(~D*~C))*~(B*~A))"),
.INIT_LUT0(16'b1011101110111011),
.INIT_LUT1(16'b0000000000001011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2653 (
.a({\u_logic/LOCKUP ,\u_logic/LOCKUP }),
.b({\u_logic/_al_u2651_o ,\u_logic/_al_u2651_o }),
.c({\u_logic/Yi8iu6_lutinv ,\u_logic/Yi8iu6_lutinv }),
.d({\u_logic/_al_u2652_o ,\u_logic/_al_u2652_o }),
.mi({open_n118497,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.fx({open_n118502,\u_logic/Nn8iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18558)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~C*B))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D)"),
//.LUTG0("(A*~(D*~C*B))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010001010101010),
.INIT_LUTF1(16'b0111010001110000),
.INIT_LUTG0(16'b1010001010101010),
.INIT_LUTG1(16'b0111010001110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2654|u_logic/J0iax6_reg (
.a({\u_logic/_al_u2569_o ,\u_logic/_al_u2654_o }),
.b({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/_al_u2655_o }),
.c({\u_logic/J0iax6 ,\u_logic/Wofiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/L6lax6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2654_o ,open_n118522}),
.q({open_n118526,\u_logic/J0iax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18558)
// ../rtl/topmodule/cortexm0ds_logic.v(18604)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C*~D))"),
//.LUTF1("(C*~D)"),
//.LUTG0("~(B*~(C*~D))"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001111110011),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0011001111110011),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2655|u_logic/Eliax6_reg (
.b({open_n118529,\u_logic/_al_u4723_o }),
.c({\u_logic/Et8iu6_lutinv ,\u_logic/_al_u4724_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2567_o ,\u_logic/_al_u4627_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2655_o ,open_n118547}),
.q({open_n118551,\u_logic/vis_ipsr_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18604)
EG_PHY_MSLICE #(
//.LUT0("(C*B*~(D*A))"),
//.LUT1("(D*~B*~(~C*A))"),
.INIT_LUT0(16'b0100000011000000),
.INIT_LUT1(16'b0011000100000000),
.MODE("LOGIC"))
\u_logic/_al_u2657|u_logic/_al_u3061 (
.a({\u_logic/_al_u410_o ,\u_logic/St1iu6 }),
.b({\u_logic/_al_u414_o ,\u_logic/_al_u3059_o }),
.c({\u_logic/Dg2qw6 ,\u_logic/Wfviu6 }),
.d({\u_logic/Uh2qw6 ,HRDATA[25]}),
.f({\u_logic/_al_u2657_o ,\u_logic/_al_u3061_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(~B*~(D*C*A))"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(~B*~(D*C*A))"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b0001001100110011),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0001001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2664|u_logic/_al_u2450 (
.a({\u_logic/T4aow6 ,\u_logic/_al_u2449_o }),
.b({\u_logic/_al_u2663_o ,\u_logic/_al_u1098_o }),
.c({\u_logic/Kxziu6_lutinv ,\u_logic/_al_u688_o }),
.d({\u_logic/_al_u160_o ,\u_logic/Daiax6 }),
.f({\u_logic/_al_u2664_o ,\u_logic/_al_u2450_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u266|u_logic/_al_u2520 (
.a({open_n118596,\u_logic/A3iiu6 }),
.b({open_n118597,\u_logic/_al_u2301_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u931_o }),
.d({\u_logic/Q80iu6 ,\u_logic/Nxkbx6 [9]}),
.f({\u_logic/Mifpw6 [21],\u_logic/A5niu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*A)"),
//.LUTF1("(~B*~A*~(D*C))"),
//.LUTG0("(~D*C*B*A)"),
//.LUTG1("(~B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0000000100010001),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2670|u_logic/_al_u2667 (
.a({\u_logic/_al_u2667_o ,\u_logic/_al_u1820_o }),
.b({\u_logic/_al_u2669_o ,\u_logic/_al_u1768_o }),
.c({\u_logic/_al_u1821_o ,\u_logic/Jgxpw6 }),
.d({\u_logic/_al_u397_o ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u2670_o ,\u_logic/_al_u2667_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~A*~(D*B))"),
//.LUTF1("(B*A*~(D*~C))"),
//.LUTG0("(~C*~A*~(D*B))"),
//.LUTG1("(B*A*~(D*~C))"),
.INIT_LUTF0(16'b0000000100000101),
.INIT_LUTF1(16'b1000000010001000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b1000000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2672|u_logic/_al_u1824 (
.a({\u_logic/_al_u1821_o ,\u_logic/Jckax6 }),
.b({\u_logic/_al_u1223_o ,\u_logic/Jgxpw6 }),
.c({\u_logic/Rwjax6 ,\u_logic/N4kax6 }),
.d({\u_logic/Skjax6 ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u2672_o ,\u_logic/_al_u1824_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(0*~(~C*B))*~(D*~A))"),
//.LUT1("(~(1*~(~C*B))*~(D*~A))"),
.INIT_LUT0(16'b1010101011111111),
.INIT_LUT1(16'b0000100000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2673 (
.a({\u_logic/_al_u2670_o ,\u_logic/_al_u2670_o }),
.b({\u_logic/_al_u2671_o ,\u_logic/_al_u2671_o }),
.c({\u_logic/_al_u2672_o ,\u_logic/_al_u2672_o }),
.d({\u_logic/Skjax6 ,\u_logic/Skjax6 }),
.mi({open_n118678,\u_logic/Sojax6 }),
.fx({open_n118683,\u_logic/_al_u2673_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2674|u_logic/_al_u1974 (
.a({\u_logic/_al_u1486_o ,open_n118686}),
.b({\u_logic/_al_u1230_o ,\u_logic/Pthiu6 }),
.c({\u_logic/Sojax6 ,\u_logic/Hirpw6 }),
.d({\u_logic/Vygax6 ,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/_al_u2674_o ,\u_logic/_al_u1974_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~D*~B*~(C*A))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000010011),
.MODE("LOGIC"))
\u_logic/_al_u2675|u_logic/_al_u1062 (
.a({\u_logic/_al_u124_o ,open_n118711}),
.b({\u_logic/_al_u1103_o ,open_n118712}),
.c({\u_logic/_al_u1462_o ,\u_logic/Hirpw6 }),
.d({\u_logic/D31ju6 ,\u_logic/D6kiu6_lutinv }),
.f({\u_logic/_al_u2675_o ,\u_logic/_al_u1062_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~B*~(0*D*A)))"),
//.LUT1("(C*~(~B*~(1*D*A)))"),
.INIT_LUT0(16'b1100000011000000),
.INIT_LUT1(16'b1110000011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2678 (
.a({\u_logic/Ia8iu6_lutinv ,\u_logic/Ia8iu6_lutinv }),
.b({\u_logic/_al_u2677_o ,\u_logic/_al_u2677_o }),
.c({\u_logic/_al_u391_o ,\u_logic/_al_u391_o }),
.d({\u_logic/Frziu6_lutinv ,\u_logic/Frziu6_lutinv }),
.mi({open_n118745,\u_logic/Aujiu6 }),
.fx({open_n118750,\u_logic/_al_u2678_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~B*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0001001100000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2681|u_logic/_al_u2329 (
.a({\u_logic/_al_u159_o ,\u_logic/Qe8iu6_lutinv }),
.b({\u_logic/L45iu6_lutinv ,\u_logic/_al_u1672_o }),
.c({\u_logic/_al_u124_o ,\u_logic/_al_u1630_o }),
.d({\u_logic/_al_u686_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u2681_o ,\u_logic/_al_u2329_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~(~D*~A))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~C*B*~(~D*~A))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000110000001000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000110000001000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2682|u_logic/_al_u2003 (
.a({open_n118773,\u_logic/_al_u165_o }),
.b({\u_logic/D31ju6 ,\u_logic/_al_u688_o }),
.c({\u_logic/T1vpw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/_al_u910_o ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u2682_o ,\u_logic/_al_u2003_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*~(~0*A))"),
//.LUT1("(~D*~C*B*~(~1*A))"),
.INIT_LUT0(16'b0000000000000100),
.INIT_LUT1(16'b0000000000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2683 (
.a({\u_logic/_al_u2163_o ,\u_logic/_al_u2163_o }),
.b({\u_logic/_al_u1789_o ,\u_logic/_al_u1789_o }),
.c({\u_logic/_al_u2681_o ,\u_logic/_al_u2681_o }),
.d({\u_logic/_al_u2682_o ,\u_logic/_al_u2682_o }),
.mi({open_n118810,\u_logic/Ae0iu6_lutinv }),
.fx({open_n118815,\u_logic/_al_u2683_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*B*A*~(~0*C))"),
//.LUT1("(~D*B*A*~(~1*C))"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2684 (
.a({\u_logic/_al_u2680_o ,\u_logic/_al_u2680_o }),
.b({\u_logic/_al_u2683_o ,\u_logic/_al_u2683_o }),
.c({\u_logic/_al_u2108_o ,\u_logic/_al_u2108_o }),
.d({\u_logic/_al_u1074_o ,\u_logic/_al_u1074_o }),
.mi({open_n118830,\u_logic/N4kax6 }),
.fx({open_n118835,\u_logic/_al_u2684_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(~D*~(A*~(C*B)))"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(~D*~(A*~(C*B)))"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b0000000011010101),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b0000000011010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2687|u_logic/_al_u2663 (
.a({\u_logic/_al_u2686_o ,open_n118838}),
.b({\u_logic/_al_u2285_o ,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/Fpaow6_lutinv ,\u_logic/Vzupw6 }),
.d({\u_logic/F9vpw6 ,\u_logic/_al_u1089_o }),
.f({\u_logic/_al_u2687_o ,\u_logic/_al_u2663_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~B*~(D*~C)))"),
//.LUT1("~((C*A)*~(B)*~(D)+(C*A)*B*~(D)+~((C*A))*B*D+(C*A)*B*D)"),
.INIT_LUT0(16'b1000101010001000),
.INIT_LUT1(16'b0011001101011111),
.MODE("LOGIC"))
\u_logic/_al_u2691|u_logic/_al_u2733 (
.a({\u_logic/_al_u1241_o ,\u_logic/_al_u1235_o }),
.b({\u_logic/Xraow6_lutinv ,\u_logic/_al_u2732_o }),
.c({\u_logic/_al_u1228_o ,\u_logic/_al_u1241_o }),
.d({\u_logic/P0kax6 ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u2691_o ,\u_logic/_al_u2733_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(~C*~(~D*B*~A))"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0000111100001011),
.MODE("LOGIC"))
\u_logic/_al_u2692|u_logic/_al_u1821 (
.a({\u_logic/_al_u2691_o ,open_n118883}),
.b({\u_logic/_al_u1235_o ,\u_logic/_al_u1101_o }),
.c({\u_logic/_al_u1762_o ,\u_logic/Jiiiu6 }),
.d({\u_logic/P14qw6 ,\u_logic/_al_u159_o }),
.f({\u_logic/_al_u2692_o ,\u_logic/_al_u1821_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~(~C*~B)))"),
//.LUT1("(~B*~A*~(D*~C))"),
.INIT_LUT0(16'b1010101000000010),
.INIT_LUT1(16'b0001000000010001),
.MODE("LOGIC"))
\u_logic/_al_u2693|u_logic/_al_u2145 (
.a({\u_logic/_al_u2688_o ,\u_logic/_al_u2144_o }),
.b({\u_logic/_al_u2689_o ,\u_logic/_al_u1681_o }),
.c({\u_logic/_al_u2692_o ,\u_logic/_al_u388_o }),
.d({\u_logic/_al_u1821_o ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u2693_o ,\u_logic/_al_u2145_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2694|u_logic/_al_u1621 (
.a({\u_logic/_al_u147_o ,\u_logic/_al_u686_o }),
.b({\u_logic/_al_u1089_o ,\u_logic/_al_u1095_o }),
.c({\u_logic/D6kiu6_lutinv ,\u_logic/Dxvpw6 }),
.d({\u_logic/_al_u910_o ,\u_logic/Hgrpw6 }),
.f({\u_logic/_al_u2694_o ,\u_logic/_al_u1621_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*D*~C*~B*~A)"),
//.LUTF1("(D*~(C*~(~B*A)))"),
//.LUTG0("(1*D*~C*~B*~A)"),
//.LUTG1("(D*~(C*~(~B*A)))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0010111100000000),
.INIT_LUTG0(16'b0000000100000000),
.INIT_LUTG1(16'b0010111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2695|u_logic/_al_u2116 (
.a({\u_logic/I82ju6 ,\u_logic/_al_u2109_o }),
.b({\u_logic/_al_u1676_o ,\u_logic/_al_u2111_o }),
.c({\u_logic/_al_u2694_o ,\u_logic/_al_u2113_o }),
.d({\u_logic/Yvjpw6 ,\u_logic/_al_u2115_o }),
.e({open_n118946,\u_logic/Do1ju6 }),
.f({\u_logic/_al_u2695_o ,\u_logic/_al_u2116_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(D*~(B*~A)))"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0100000011110000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\u_logic/_al_u2696|u_logic/_al_u2730 (
.a({\u_logic/_al_u2673_o ,\u_logic/_al_u1676_o }),
.b({\u_logic/_al_u2684_o ,\u_logic/Ldoiu6_lutinv }),
.c({\u_logic/_al_u2693_o ,\u_logic/Yljiu6 }),
.d({\u_logic/_al_u2695_o ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2696_o ,\u_logic/_al_u2730_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17414)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(D*C)*~(0*B))"),
//.LUTF1("(D*~(~A*~(B*~(C)*~(0)+B*C*~(0)+~(B)*C*0+B*C*0)))"),
//.LUTG0("~(A*~(D*C)*~(1*B))"),
//.LUTG1("(D*~(~A*~(B*~(C)*~(1)+B*C*~(1)+~(B)*C*1+B*C*1)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111010101010101),
.INIT_LUTF1(16'b1110111000000000),
.INIT_LUTG0(16'b1111110111011101),
.INIT_LUTG1(16'b1111101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2699|u_logic/S7mpw6_reg (
.a({\u_logic/_al_u2569_o ,\u_logic/_al_u2867_o }),
.b({\u_logic/_al_u2571_o ,\u_logic/Pp7iu6 }),
.c({\u_logic/_al_u2645_o ,\u_logic/Kq7iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u2698_o ,HRDATA[17]}),
.e({\u_logic/vis_pc_o[0] ,HRDATA[1]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2699_o ,open_n119002}),
.q({open_n119006,\u_logic/S7mpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17414)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*D*C*~B))"),
//.LUT1("(~A*~(1*D*C*~B))"),
.INIT_LUT0(16'b0101010101010101),
.INIT_LUT1(16'b0100010101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2700 (
.a({\u_logic/_al_u1088_o ,\u_logic/_al_u1088_o }),
.b({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.c({\u_logic/T1vpw6 ,\u_logic/T1vpw6 }),
.d({\u_logic/Vygax6 ,\u_logic/Vygax6 }),
.mi({open_n119019,\u_logic/Yvjpw6 }),
.fx({open_n119024,\u_logic/_al_u2700_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~A*~(D*C))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000100010001),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2703|u_logic/_al_u1075 (
.a({open_n119027,\u_logic/_al_u1072_o }),
.b({open_n119028,\u_logic/_al_u1074_o }),
.c({\u_logic/_al_u1462_o ,\u_logic/D6kiu6_lutinv }),
.d({\u_logic/_al_u388_o ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u2703_o ,\u_logic/_al_u1075_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~A*~(0*C))"),
//.LUT1("(~D*~B*~A*~(1*C))"),
.INIT_LUT0(16'b0000000000010001),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2704 (
.a({\u_logic/_al_u2702_o ,\u_logic/_al_u2702_o }),
.b({\u_logic/_al_u2703_o ,\u_logic/_al_u2703_o }),
.c({\u_logic/_al_u2552_o ,\u_logic/_al_u2552_o }),
.d({\u_logic/_al_u390_o ,\u_logic/_al_u390_o }),
.mi({open_n119061,\u_logic/Daiax6 }),
.fx({open_n119066,\u_logic/_al_u2704_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(~D*~C))"),
//.LUTF1("(A*~(D*C*B))"),
//.LUTG0("(B*A*~(~D*~C))"),
//.LUTG1("(A*~(D*C*B))"),
.INIT_LUTF0(16'b1000100010000000),
.INIT_LUTF1(16'b0010101010101010),
.INIT_LUTG0(16'b1000100010000000),
.INIT_LUTG1(16'b0010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2706|u_logic/_al_u2705 (
.a({\u_logic/_al_u2705_o ,\u_logic/_al_u2701_o }),
.b({\u_logic/_al_u2119_o ,\u_logic/_al_u2456_o }),
.c({\u_logic/_al_u147_o ,\u_logic/_al_u2704_o }),
.d({\u_logic/_al_u910_o ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2706_o ,\u_logic/_al_u2705_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(A*~(D*~(C*~B)))"),
.INIT_LUT0(16'b1010001010000000),
.INIT_LUT1(16'b0010000010101010),
.MODE("LOGIC"))
\u_logic/_al_u2711|u_logic/_al_u2565 (
.a({\u_logic/_al_u2706_o ,\u_logic/_al_u1721_o }),
.b({\u_logic/_al_u2707_o ,\u_logic/Hirpw6 }),
.c({\u_logic/_al_u2710_o ,\u_logic/Vzupw6 }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/Yvjpw6 }),
.f({\u_logic/Hj9pw6 ,\u_logic/_al_u2565_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2712|u_logic/_al_u2842 (
.b({open_n119115,\u_logic/_al_u390_o }),
.c({\u_logic/_al_u2565_o ,\u_logic/Np7ow6_lutinv }),
.d({\u_logic/Hj9pw6 ,\u_logic/_al_u2372_o }),
.f({\u_logic/_al_u2712_o ,\u_logic/Qa5iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*A)"),
//.LUTF1("(D*C*~B*~A)"),
//.LUTG0("(~D*C*B*A)"),
//.LUTG1("(D*C*~B*~A)"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0001000000000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2713|u_logic/_al_u4358 (
.a({\u_logic/_al_u2632_o ,\u_logic/_al_u2571_o }),
.b({\u_logic/_al_u2699_o ,\u_logic/_al_u2645_o }),
.c({\u_logic/_al_u2712_o ,\u_logic/n4330 }),
.d({\u_logic/_al_u2635_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u2713_o ,\u_logic/_al_u4358_o }));
EG_PHY_MSLICE #(
//.LUT0("~(C*~D)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b1111111100001111),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\u_logic/_al_u2715|u_logic/_al_u1733 (
.b({\u_logic/_al_u2293_o ,open_n119162}),
.c({\u_logic/_al_u1721_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/Hj9pw6 ,\u_logic/Cz8iu6 }),
.f({\u_logic/S18iu6 ,\u_logic/n602 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18648)
EG_PHY_LSLICE #(
//.LUTF0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTF1("(~D*~(0*C*~B*~A))"),
//.LUTG0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTG1("(~D*~(1*C*~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101000000),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0111001101000000),
.INIT_LUTG1(16'b0000000011101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2716|u_logic/Sejax6_reg (
.a({\u_logic/_al_u2632_o ,\u_logic/Wz4iu6 }),
.b({\u_logic/_al_u2699_o ,\u_logic/n4330 }),
.c({\u_logic/_al_u2712_o ,HRDATA[31]}),
.clk(clk_pad),
.d({\u_logic/S18iu6 ,\u_logic/Sejax6 }),
.e({\u_logic/_al_u2635_o ,open_n119184}),
.f({\u_logic/HMASTER ,open_n119200}),
.q({open_n119204,\u_logic/Sejax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18648)
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(B*~(D*~C*~A))"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b1100100011001100),
.MODE("LOGIC"))
\u_logic/_al_u2719|u_logic/_al_u2334 (
.a({\u_logic/P0biu6 ,open_n119205}),
.b({\u_logic/_al_u2718_o ,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/Aujpw6 ,\u_logic/T1vpw6 }),
.d({\u_logic/F9vpw6 ,\u_logic/I30ju6_lutinv }),
.f({\u_logic/_al_u2719_o ,\u_logic/_al_u2334_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(0*~(~B*~(~D*A))))"),
//.LUT1("(~C*~(1*~(~B*~(~D*A))))"),
.INIT_LUT0(16'b0000111100001111),
.INIT_LUT1(16'b0000001100000001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2720 (
.a({\u_logic/_al_u723_o ,\u_logic/_al_u723_o }),
.b({\u_logic/_al_u1235_o ,\u_logic/_al_u1235_o }),
.c({\u_logic/_al_u1721_o ,\u_logic/_al_u1721_o }),
.d({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.mi({open_n119238,\u_logic/U9ypw6 }),
.fx({open_n119243,\u_logic/_al_u2720_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*C)*~(D*B))"),
//.LUTF1("(C*~(~B*~(D*A)))"),
//.LUTG0("(~A*~(1*C)*~(D*B))"),
//.LUTG1("(C*~(~B*~(D*A)))"),
.INIT_LUTF0(16'b0001000101010101),
.INIT_LUTF1(16'b1110000011000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b1110000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2721|u_logic/_al_u1096 (
.a({\u_logic/_al_u651_o ,\u_logic/_al_u1088_o }),
.b({\u_logic/_al_u165_o ,\u_logic/Z6aiu6 }),
.c({\u_logic/_al_u162_o ,\u_logic/Z89ow6 }),
.d({\u_logic/Qyniu6_lutinv ,\u_logic/_al_u1094_o }),
.e({open_n119248,\u_logic/_al_u1095_o }),
.f({\u_logic/_al_u2721_o ,\u_logic/_al_u1096_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~((D*B)*~(C)*~(0)+(D*B)*C*~(0)+~((D*B))*C*0+(D*B)*C*0))"),
//.LUT1("(A*~((D*B)*~(C)*~(1)+(D*B)*C*~(1)+~((D*B))*C*1+(D*B)*C*1))"),
.INIT_LUT0(16'b0010001010101010),
.INIT_LUT1(16'b0000101000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2723 (
.a({\u_logic/_al_u2722_o ,\u_logic/_al_u2722_o }),
.b({\u_logic/Geoiu6 ,\u_logic/Geoiu6 }),
.c({\u_logic/_al_u1101_o ,\u_logic/_al_u1101_o }),
.d({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.mi({open_n119281,\u_logic/Ydopw6 }),
.fx({open_n119286,\u_logic/_al_u2723_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~C*B))"),
//.LUTF1("(~B*~(D*C*~A))"),
//.LUTG0("(A*~(~D*~C*B))"),
//.LUTG1("(~B*~(D*C*~A))"),
.INIT_LUTF0(16'b1010101010100010),
.INIT_LUTF1(16'b0010001100110011),
.INIT_LUTG0(16'b1010101010100010),
.INIT_LUTG1(16'b0010001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2726|u_logic/_al_u1828 (
.a({\u_logic/_al_u2724_o ,\u_logic/_al_u1827_o }),
.b({\u_logic/_al_u2725_o ,\u_logic/_al_u1241_o }),
.c({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.d({\u_logic/P14qw6 ,\u_logic/Rwjax6 }),
.f({\u_logic/_al_u2726_o ,\u_logic/_al_u1828_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~C*D))"),
//.LUTF1("(~A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*~(D)+B*~(C)*D+B*C*D))"),
//.LUTG0("(~B*~(~C*D))"),
//.LUTG1("(~A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*~(D)+B*~(C)*D+B*C*D))"),
.INIT_LUTF0(16'b0011000000110011),
.INIT_LUTF1(16'b0100010001010001),
.INIT_LUTG0(16'b0011000000110011),
.INIT_LUTG1(16'b0100010001010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2728|u_logic/_al_u2718 (
.a({\u_logic/_al_u2727_o ,open_n119313}),
.b({\u_logic/Qxoiu6 ,\u_logic/R3vpw6 }),
.c({\u_logic/Gr2qw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/P0kax6 ,\u_logic/Y40ju6 }),
.f({\u_logic/_al_u2728_o ,\u_logic/_al_u2718_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~A*~(C*~B)))"),
//.LUT1("(C*~(A*~(D*~B)))"),
.INIT_LUT0(16'b0000000010111010),
.INIT_LUT1(16'b0111000001010000),
.MODE("LOGIC"))
\u_logic/_al_u2729|u_logic/_al_u2727 (
.a({\u_logic/_al_u2726_o ,\u_logic/_al_u1225_o }),
.b({\u_logic/_al_u2728_o ,\u_logic/Rwjax6 }),
.c({\u_logic/J9kiu6_lutinv ,\u_logic/Sojax6 }),
.d({\u_logic/Skjax6 ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u2729_o ,\u_logic/_al_u2727_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u272|u_logic/_al_u2512 (
.a({open_n119358,\u_logic/_al_u2301_o }),
.b({open_n119359,\u_logic/_al_u2293_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u846_o }),
.d({\u_logic/J80iu6 ,\u_logic/N5fpw6 [25]}),
.f({\u_logic/Mifpw6 [22],\u_logic/_al_u2512_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1100111010111110),
.INIT_LUT1(16'b1100111001111110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2732 (
.a({\u_logic/N4kax6 ,\u_logic/N4kax6 }),
.b({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.c({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Ssjax6 ,\u_logic/Ssjax6 }),
.mi({open_n119396,\u_logic/W4jax6 }),
.fx({open_n119401,\u_logic/_al_u2732_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*A*(C@B))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(~1*~D*A*(C@B))"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b0000000000101000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2736|u_logic/_al_u2111 (
.a({\u_logic/_al_u2723_o ,\u_logic/Yo1ju6 }),
.b({\u_logic/_al_u2729_o ,\u_logic/_al_u2110_o }),
.c({\u_logic/_al_u2730_o ,\u_logic/N4kax6 }),
.d({\u_logic/_al_u2735_o ,\u_logic/Rwjax6 }),
.e({open_n119406,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u2736_o ,\u_logic/_al_u2111_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~A*~(C*~B)))"),
//.LUTF1("(A*~(D*C*B))"),
//.LUTG0("(~D*~(~A*~(C*~B)))"),
//.LUTG1("(A*~(D*C*B))"),
.INIT_LUTF0(16'b0000000010111010),
.INIT_LUTF1(16'b0010101010101010),
.INIT_LUTG0(16'b0000000010111010),
.INIT_LUTG1(16'b0010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2737|u_logic/_al_u2448 (
.a({\u_logic/_al_u2736_o ,\u_logic/_al_u2334_o }),
.b({\u_logic/I30ju6_lutinv ,\u_logic/_al_u2447_o }),
.c({\u_logic/Mmjiu6_lutinv ,\u_logic/P5vpw6 }),
.d({\u_logic/_al_u641_o ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u2737_o ,\u_logic/_al_u2448_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~B*~A*~(D*C))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"))
\u_logic/_al_u2740|u_logic/_al_u1583 (
.a({\u_logic/_al_u2739_o ,open_n119451}),
.b({\u_logic/_al_u391_o ,open_n119452}),
.c({\u_logic/Pthiu6 ,\u_logic/Yvjpw6 }),
.d({\u_logic/Yljiu6 ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u2740_o ,\u_logic/_al_u1583_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(0*~(~C*~B))*~(D*A))"),
//.LUT1("(~(1*~(~C*~B))*~(D*A))"),
.INIT_LUT0(16'b0101010111111111),
.INIT_LUT1(16'b0000000100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2741 (
.a({\u_logic/_al_u2552_o ,\u_logic/_al_u2552_o }),
.b({\u_logic/_al_u651_o ,\u_logic/_al_u651_o }),
.c({\u_logic/_al_u160_o ,\u_logic/_al_u160_o }),
.d({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.mi({open_n119485,\u_logic/P5vpw6 }),
.fx({open_n119490,\u_logic/_al_u2741_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~B*D))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1100000011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2743|u_logic/_al_u1777 (
.b({open_n119495,\u_logic/_al_u1776_o }),
.c({\u_logic/_al_u391_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/Ia8iu6_lutinv ,\u_logic/_al_u1775_o }),
.f({\u_logic/_al_u2743_o ,\u_logic/D8iiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(A*~((C*B))*~(0)+A*(C*B)*~(0)+~(A)*(C*B)*0+A*(C*B)*0))"),
//.LUT1("(~D*(A*~((C*B))*~(1)+A*(C*B)*~(1)+~(A)*(C*B)*1+A*(C*B)*1))"),
.INIT_LUT0(16'b0000000010101010),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2744 (
.a({\u_logic/_al_u2743_o ,\u_logic/_al_u2743_o }),
.b({\u_logic/U98iu6 ,\u_logic/U98iu6 }),
.c({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.d({\u_logic/Hgrpw6 ,\u_logic/Hgrpw6 }),
.mi({open_n119528,\u_logic/Ufopw6 }),
.fx({open_n119533,\u_logic/_al_u2744_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(0*B)*~(D*A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*~(1*B)*~(D*A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000010100001111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000100000011),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2747|u_logic/_al_u1994 (
.a({open_n119536,\u_logic/Z4jiu6_lutinv }),
.b({open_n119537,\u_logic/_al_u1606_o }),
.c({\u_logic/U9ypw6 ,\u_logic/_al_u1993_o }),
.d({\u_logic/Aujpw6 ,\u_logic/F6ziu6 }),
.e({open_n119540,\u_logic/Ssjax6 }),
.f({\u_logic/Azziu6_lutinv ,\u_logic/_al_u1994_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(D*~(~C*~B*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(D*~(~C*~B*A))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111110100000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111110100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2749|u_logic/_al_u1600 (
.a({\u_logic/_al_u2748_o ,open_n119561}),
.b({\u_logic/_al_u723_o ,open_n119562}),
.c({\u_logic/_al_u1235_o ,\u_logic/Ydopw6 }),
.d({\u_logic/Kxziu6_lutinv ,\u_logic/Hgrpw6 }),
.f({\u_logic/_al_u2749_o ,\u_logic/_al_u1600_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17643)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u274|u_logic/W9spw6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Gkqow6 }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r0_o[23] ,\u_logic/vis_r10_o[24] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[23] ,\u_logic/vis_r8_o[24] }),
.mi({open_n119590,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u274_o ,\u_logic/_al_u1350_o }),
.q({open_n119606,\u_logic/vis_r8_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17643)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~C*B))"),
//.LUTF1("(A*~(D*C*~B))"),
//.LUTG0("(D*~(~C*B))"),
//.LUTG1("(A*~(D*C*~B))"),
.INIT_LUTF0(16'b1111001100000000),
.INIT_LUTF1(16'b1000101010101010),
.INIT_LUTG0(16'b1111001100000000),
.INIT_LUTG1(16'b1000101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2751|u_logic/_al_u1664 (
.a({\u_logic/_al_u2750_o ,open_n119607}),
.b({\u_logic/_al_u1676_o ,\u_logic/_al_u1107_o }),
.c({\u_logic/Qe8iu6_lutinv ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u641_o ,\u_logic/_al_u1663_o }),
.f({\u_logic/_al_u2751_o ,\u_logic/D0jiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17738)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~C*~B))"),
//.LUTF1("(B*A*~(D*~C))"),
//.LUTG0("(~D*~(~C*~B))"),
//.LUTG1("(B*A*~(D*~C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111100),
.INIT_LUTF1(16'b1000000010001000),
.INIT_LUTG0(16'b0000000011111100),
.INIT_LUTG1(16'b1000000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2754|u_logic/Vzupw6_reg (
.a({\u_logic/_al_u2746_o ,open_n119632}),
.b({\u_logic/_al_u2751_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.c({\u_logic/_al_u2753_o ,\u_logic/Vzupw6 }),
.clk(clk_pad),
.d({\u_logic/Yvjpw6 ,\u_logic/_al_u2185_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2754_o ,open_n119650}),
.q({open_n119654,\u_logic/Vzupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17738)
// ../rtl/topmodule/cortexm0ds_logic.v(18546)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*~(B*~A)))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(C*~(D*~(B*~A)))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111100001111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1011111100001111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2758|u_logic/Rwhax6_reg (
.a({\u_logic/A3iiu6 ,\u_logic/_al_u4629_o }),
.b({\u_logic/_al_u2301_o ,\u_logic/_al_u4635_o }),
.c({\u_logic/Gh0iu6_lutinv ,\u_logic/_al_u4746_o }),
.clk(clk_pad),
.d({\u_logic/Nxkbx6 [3],\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u2758_o ,open_n119672}),
.q({open_n119676,\u_logic/vis_pc_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(18546)
// ../rtl/topmodule/cortexm0ds_logic.v(18925)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u275|u_logic/Rnvax6_reg (
.a({\u_logic/X7now6_lutinv ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r4_o[23] ,\u_logic/vis_r10_o[24] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[23] ,\u_logic/vis_r8_o[24] }),
.mi({open_n119687,\u_logic/Vx9iu6 }),
.f({\u_logic/Sa6pw6 ,\u_logic/_al_u828_o }),
.q({open_n119692,\u_logic/vis_r7_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18925)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*B)*~(0*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*~(D*B)*~(1*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2760|u_logic/_al_u2761 (
.a({\u_logic/_al_u2474_o ,\u_logic/Ok8iu6 }),
.b({\u_logic/_al_u2473_o ,\u_logic/Ql8iu6 }),
.c({\u_logic/Ud4iu6 ,\u_logic/C4iiu6 }),
.d({\u_logic/Xrxax6 ,\u_logic/vis_ipsr_o[2] }),
.e({open_n119695,\u_logic/vis_pc_o[1] }),
.f({\u_logic/C4iiu6 ,\u_logic/_al_u2761_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18134)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C*B))"),
//.LUT1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010101010101010),
.INIT_LUT1(16'b1111000000110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2763|u_logic/Su8ax6_reg (
.a({open_n119716,\u_logic/Wo1iu6 }),
.b({\u_logic/_al_u2359_o ,\u_logic/Yw1iu6 }),
.c({\u_logic/Cq3qw6 ,\u_logic/_al_u3086_o }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/Ntuiu6 }),
.mi({open_n119727,\u_logic/X44iu6 }),
.f({HADDR[29],\u_logic/_al_u3105_o }),
.q({open_n119732,\u_logic/Su8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18134)
// ../rtl/topmodule/cortexm0ds_logic.v(18822)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u276|u_logic/Azpax6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/V6now6_lutinv ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r2_o[23] ,\u_logic/vis_r7_o[23] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[23] ,\u_logic/vis_r3_o[23] }),
.mi({open_n119743,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u276_o ,\u_logic/Ic6pw6 }),
.q({open_n119748,\u_logic/vis_r2_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18822)
// ../rtl/topmodule/cortexm0ds_logic.v(17597)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(C*~(~0*~D)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~B*~A*~(C*~(~1*~D)))"),
//.LUTG1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100010001),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000100000001),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2772|u_logic/I4rpw6_reg (
.a({open_n119749,\u_logic/_al_u129_o }),
.b({open_n119750,\u_logic/n274 }),
.c({\u_logic/Nmfax6 ,\u_logic/Iqzhu6_lutinv }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Gnqpw6 }),
.e({open_n119751,\u_logic/J0gax6 }),
.mi({open_n119753,\u_logic/L5lpw6 }),
.f({\u_logic/_al_u2772_o ,\u_logic/V34iu6 }),
.q({open_n119769,\u_logic/I4rpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17597)
// ../rtl/topmodule/cortexm0ds_logic.v(17943)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*~B))"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(~D*~(C*~B))"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011001111),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b0000000011001111),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2776|u_logic/Gl1qw6_reg (
.b({\u_logic/Gpqpw6 ,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/Xn7ax6 ,\u_logic/Nrkpw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Wqzhu6 ,\u_logic/Qwpiu6_lutinv }),
.mi({open_n119775,\u_logic/Qj1qw6 }),
.f({\u_logic/_al_u2776_o ,\u_logic/_al_u3080_o }),
.q({open_n119791,\u_logic/Gl1qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17943)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUT1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.INIT_LUT0(16'b1111000000110011),
.INIT_LUT1(16'b1111000000110011),
.MODE("LOGIC"))
\u_logic/_al_u2780|u_logic/_al_u2782 (
.b({\u_logic/_al_u2489_o ,\u_logic/_al_u2481_o }),
.c({\u_logic/Jl3qw6 ,\u_logic/Dpwpw6 }),
.d({\u_logic/n5754 ,\u_logic/n5754 }),
.f({HADDR[18],HADDR[16]}));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUT1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110011),
.INIT_LUT1(16'b1111000000110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2781|RAMDATA_Interface/reg0_b11 (
.b({\u_logic/_al_u2485_o ,\u_logic/_al_u2537_o }),
.c({\u_logic/Yubbx6 ,\u_logic/Kl8ax6 }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/n5754 }),
.sr(cpuresetn),
.f({HADDR[17],HADDR[13]}),
.q({open_n119831,RAMDATA_WADDR[11]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/topmodule/cortexm0ds_logic.v(19939)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2786|u_logic/Yubbx6_reg (
.b({\u_logic/_al_u2361_o ,open_n119834}),
.c({\u_logic/Wc2qw6 ,\u_logic/Lhbbx6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/Iqzhu6_lutinv }),
.f({HADDR[30],\u_logic/G64iu6 }),
.q({open_n119855,\u_logic/Yubbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19939)
// ../rtl/topmodule/cortexm0ds_logic.v(18298)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2787|u_logic/Yxdax6_reg (
.b({\u_logic/_al_u2589_o ,open_n119858}),
.c({\u_logic/Vqgax6 ,\u_logic/Ns8ax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/Iqzhu6_lutinv }),
.f({HADDR[28],\u_logic/X44iu6 }),
.q({open_n119875,\u_logic/Yxdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18298)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2788|u_logic/_al_u2790 (
.b({\u_logic/_al_u2517_o ,\u_logic/_al_u2583_o }),
.c({\u_logic/Q4dbx6 ,\u_logic/Q2ibx6 }),
.d({\u_logic/n5754 ,\u_logic/n5754 }),
.f({HADDR[27],HADDR[25]}));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUT1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.INIT_LUT0(16'b1111000000110011),
.INIT_LUT1(16'b1111000000110011),
.MODE("LOGIC"))
\u_logic/_al_u2789|u_logic/_al_u2792 (
.b({\u_logic/_al_u2513_o ,\u_logic/_al_u2509_o }),
.c({\u_logic/Nlcbx6 ,\u_logic/H7hbx6 }),
.d({\u_logic/n5754 ,\u_logic/n5754 }),
.f({HADDR[26],HADDR[23]}));
// ../rtl/topmodule/cortexm0ds_logic.v(19762)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(~C*B))"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("~(D*~(~C*B))"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011111111),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b0000110011111111),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2791|u_logic/Bu6bx6_reg (
.b({\u_logic/_al_u2574_o ,\u_logic/Wo1iu6 }),
.c({\u_logic/No3qw6 ,\u_logic/_al_u3161_o }),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/_al_u3153_o }),
.f({HADDR[24],open_n119944}),
.q({open_n119948,\u_logic/Bu6bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19762)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2794|u_logic/_al_u2793 (
.b({\u_logic/_al_u2501_o ,\u_logic/_al_u2505_o }),
.c({\u_logic/Ufebx6 ,\u_logic/Bvfbx6 }),
.d({\u_logic/n5754 ,\u_logic/n5754 }),
.f({HADDR[21],HADDR[22]}));
// ../rtl/topmodule/cortexm0ds_logic.v(17309)
EG_PHY_MSLICE #(
//.LUT0("~(B*~A*~(D*C))"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111101110111011),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2797|u_logic/Nrkpw6_reg (
.a({open_n119975,\u_logic/_al_u3079_o }),
.b({open_n119976,\u_logic/_al_u3080_o }),
.c({\u_logic/Dugax6 ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/Wqzhu6 ,\u_logic/No3qw6 }),
.f({\u_logic/Qc3pw6_lutinv ,open_n119991}),
.q({open_n119995,\u_logic/Nrkpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17309)
// ../rtl/topmodule/cortexm0ds_logic.v(17964)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~A*~(D*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(C*~A*~(D*B))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111110101111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1110111110101111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2799|u_logic/C72qw6_reg (
.a({open_n119996,\u_logic/_al_u1026_o }),
.b({open_n119997,\u_logic/Vk1iu6 }),
.c({\u_logic/Gnqpw6 ,\u_logic/S02iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/X42qw6 }),
.f({\u_logic/Sq4iu6 ,open_n120016}),
.q({open_n120020,\u_logic/C72qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17964)
// ../rtl/topmodule/cortexm0ds_logic.v(18420)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("~(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("~(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000111111001100),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000111111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2800|u_logic/Dugax6_reg (
.b({\u_logic/Fnpiu6 ,open_n120023}),
.c({\u_logic/Sq4iu6 ,\u_logic/Gr2qw6 }),
.ce(\u_logic/M24iu6 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/_al_u410_o }),
.mi({open_n120027,\u_logic/O34iu6 }),
.sr(RSTn_pad),
.f({HWRITE,\u_logic/K0qiu6_lutinv }),
.q({open_n120042,\u_logic/Dugax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18420)
// ../rtl/topmodule/cortexm0ds_logic.v(17762)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~D)"),
//.LUT1("(~D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111110000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2802|u_logic/K7vpw6_reg (
.a({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,open_n120043}),
.b({\u_logic/Fm7ax6 ,open_n120044}),
.c({\u_logic/Gr2qw6 ,\u_logic/DBGRESTARTED }),
.clk(clk_pad),
.d({\u_logic/Isjpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.sr(RSTn_pad),
.f({\u_logic/_al_u2802_o ,open_n120058}),
.q({open_n120062,\u_logic/DBGRESTARTED })); // ../rtl/topmodule/cortexm0ds_logic.v(17762)
// ../rtl/topmodule/cortexm0ds_logic.v(18334)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)"),
//.LUTF1("(C*~(~D*B*~A))"),
//.LUTG0("(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)"),
//.LUTG1("(C*~(~D*B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000110000),
.INIT_LUTF1(16'b1111000010110000),
.INIT_LUTG0(16'b1111110000110000),
.INIT_LUTG1(16'b1111000010110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2803|u_logic/M8fax6_reg (
.a({\u_logic/LOCKUP ,open_n120063}),
.b({\u_logic/Bepiu6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.c({\u_logic/_al_u2802_o ,\u_logic/M8fax6 }),
.clk(clk_pad),
.d({\u_logic/Ydopw6 ,\u_logic/_al_u739_o }),
.sr(RSTn_pad),
.f({\u_logic/_al_u2803_o ,open_n120081}),
.q({open_n120085,\u_logic/M8fax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18334)
// ../rtl/topmodule/cortexm0ds_logic.v(18142)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~A*~(D*C*B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0001010101010101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2804|u_logic/Zx8ax6_reg (
.a({\u_logic/_al_u2803_o ,open_n120086}),
.b({\u_logic/Tu4iu6 ,open_n120087}),
.c({\u_logic/O34iu6 ,\u_logic/I4rpw6 }),
.ce(\u_logic/Bs4iu6 ),
.clk(clk_pad),
.d({\u_logic/I4rpw6 ,\u_logic/Iqzhu6_lutinv }),
.sr(RSTn_pad),
.f({\u_logic/_al_u2804_o ,\u_logic/T24iu6 }),
.q({open_n120103,\u_logic/Zx8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18142)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2806|u_logic/_al_u2603 (
.a({\u_logic/_al_u2474_o ,\u_logic/_al_u2474_o }),
.b({\u_logic/_al_u2473_o ,\u_logic/_al_u2473_o }),
.c({\u_logic/O34iu6 ,\u_logic/T24iu6 }),
.d({\u_logic/Nu5bx6 ,\u_logic/I1lpw6 }),
.f({\u_logic/Xfliu6 ,\u_logic/Jz8iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u2809|u_logic/_al_u1903 (
.a({open_n120128,\u_logic/_al_u1067_o }),
.b({\u_logic/Ch5iu6_lutinv ,\u_logic/Rmbpw6 }),
.c({\u_logic/Mnmpw6 ,\u_logic/vis_ipsr_o[2] }),
.d({HWDATA[28],\u_logic/vis_ipsr_o[3] }),
.f({\u_logic/_al_u2809_o ,\u_logic/_al_u1903_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~0*~C)*~(~D*A))"),
//.LUT1("(~B*~(~1*~C)*~(~D*A))"),
.INIT_LUT0(16'b0011000000010000),
.INIT_LUT1(16'b0011001100010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2810 (
.a({\u_logic/H4phu6 ,\u_logic/H4phu6 }),
.b({\u_logic/_al_u2809_o ,\u_logic/_al_u2809_o }),
.c({\u_logic/Ag5iu6 ,\u_logic/Ag5iu6 }),
.d({\u_logic/Gihbx6 ,\u_logic/Gihbx6 }),
.mi({open_n120161,\u_logic/Zdtpw6 }),
.fx({open_n120166,\u_logic/_al_u2810_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*A)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~D*C*B*A)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2811|u_logic/_al_u3181 (
.a({open_n120169,\u_logic/_al_u3009_o }),
.b({open_n120170,\u_logic/_al_u3178_o }),
.c({\u_logic/U31bx6 ,\u_logic/_al_u3179_o }),
.d({\u_logic/J5phu6 ,\u_logic/_al_u3180_o }),
.f({\u_logic/_al_u2811_o ,\u_logic/Ibsiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2812|u_logic/_al_u3143 (
.a({open_n120195,\u_logic/_al_u3142_o }),
.b({open_n120196,\u_logic/M6eiu6 }),
.c({\u_logic/Aa2bx6 ,\u_logic/M0eow6 }),
.d({\u_logic/C5phu6 ,\u_logic/Lywpw6 }),
.e({open_n120199,\u_logic/P12bx6 }),
.f({\u_logic/_al_u2812_o ,\u_logic/U1uiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*C)*~(D*B))"),
//.LUTF1("(~C*~B*A*~(~0*D))"),
//.LUTG0("(~A*~(1*C)*~(D*B))"),
//.LUTG1("(~C*~B*A*~(~1*D))"),
.INIT_LUTF0(16'b0001000101010101),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0000001000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2813|u_logic/_al_u4259 (
.a({\u_logic/_al_u2810_o ,\u_logic/_al_u2282_o }),
.b({\u_logic/_al_u2811_o ,\u_logic/F0eow6 }),
.c({\u_logic/_al_u2812_o ,\u_logic/M0eow6 }),
.d({\u_logic/Szohu6 ,\u_logic/Ih0bx6 }),
.e({\u_logic/Xq2bx6 ,\u_logic/Jx1bx6 }),
.f({\u_logic/_al_u2813_o ,\u_logic/_al_u4259_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2814|u_logic/_al_u2043 (
.b({open_n120244,\u_logic/_al_u2042_o }),
.c({\u_logic/Mk3bx6 ,\u_logic/_al_u1914_o }),
.d({\u_logic/A4phu6 ,\u_logic/_al_u1066_o }),
.f({\u_logic/_al_u2814_o ,\u_logic/_al_u2043_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUT1("(~D*~(~C*B))"),
.INIT_LUT0(16'b0000100001011111),
.INIT_LUT1(16'b0000000011110011),
.MODE("LOGIC"))
\u_logic/_al_u2815|u_logic/_al_u2049 (
.a({open_n120269,HWDATA[19]}),
.b({\u_logic/O4phu6 ,\u_logic/K66iu6 }),
.c({\u_logic/Muhbx6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/_al_u2814_o ,\u_logic/V52bx6 }),
.f({\u_logic/_al_u2815_o ,\u_logic/Q2yiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~0*C)*~(~D*B))"),
//.LUT1("(A*~(~1*C)*~(~D*B))"),
.INIT_LUT0(16'b0000101000000010),
.INIT_LUT1(16'b1010101000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2816 (
.a({\u_logic/_al_u2815_o ,\u_logic/_al_u2815_o }),
.b({\u_logic/V4phu6 ,\u_logic/V4phu6 }),
.c({\u_logic/M3phu6 ,\u_logic/M3phu6 }),
.d({\u_logic/N5bbx6 ,\u_logic/N5bbx6 }),
.mi({open_n120302,\u_logic/Qo3bx6 }),
.fx({open_n120307,\u_logic/_al_u2816_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~B*A)"),
//.LUT1("(B*A*~(~D*~C))"),
.INIT_LUT0(16'b0000001000000000),
.INIT_LUT1(16'b1000100010000000),
.MODE("LOGIC"))
\u_logic/_al_u2817|u_logic/_al_u68 (
.a({\u_logic/_al_u2813_o ,\u_logic/Vynow6_lutinv }),
.b({\u_logic/_al_u2816_o ,\u_logic/R9yax6 }),
.c({\u_logic/Qh5iu6 ,\u_logic/W5ypw6 }),
.d({\u_logic/F17ax6 ,\u_logic/Ztupw6 }),
.f({\u_logic/_al_u2817_o ,\u_logic/M6eiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTG0("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2818 (
.c({open_n120334,\u_logic/Fc1bx6 }),
.d({open_n120337,\u_logic/F3phu6 }),
.f({open_n120355,\u_logic/_al_u2818_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19827)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(~B*~(~C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0011000000110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2819|u_logic/Lr9bx6_reg (
.b({\u_logic/_al_u2818_o ,\u_logic/_al_u1066_o }),
.c({\u_logic/Xo1bx6 ,\u_logic/G9fiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/D2phu6 ,\u_logic/_al_u1918_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2819_o ,\u_logic/T3phu6 }),
.q({open_n120379,\u_logic/Lr9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19827)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2821|u_logic/_al_u4163 (
.c({\u_logic/Rm2bx6 ,\u_logic/Owhbx6 }),
.d({\u_logic/Gwdpw6 ,\u_logic/Muhbx6 }),
.f({\u_logic/_al_u2821_o ,\u_logic/Nhtow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2822|u_logic/_al_u1517 (
.c({\u_logic/Li2bx6 ,\u_logic/N8rpw6 }),
.d({\u_logic/G0phu6 ,\u_logic/Azeiu6 }),
.f({\u_logic/_al_u2822_o ,\u_logic/_al_u1517_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2823|u_logic/_al_u3169 (
.a({open_n120428,\u_logic/F0eow6 }),
.b({open_n120429,\u_logic/M0eow6 }),
.c({\u_logic/V52bx6 ,\u_logic/C50bx6 }),
.d({\u_logic/U0phu6 ,\u_logic/Fc1bx6 }),
.f({\u_logic/_al_u2823_o ,\u_logic/L9mow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17227)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2824|u_logic/V0jpw6_reg (
.b({open_n120452,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Lr9bx6 ,\u_logic/Tyipw6 }),
.clk(clk_pad),
.d({\u_logic/T3phu6 ,\u_logic/_al_u1543_o }),
.f({\u_logic/_al_u2824_o ,open_n120471}),
.q({open_n120475,\u_logic/V0jpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17227)
// ../rtl/topmodule/cortexm0ds_logic.v(19224)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2826|u_logic/Kl0bx6_reg (
.a({open_n120476,HWDATA[18]}),
.b({open_n120477,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Dv2bx6 ,\u_logic/Kl0bx6 }),
.clk(clk_pad),
.d({\u_logic/Nwdpw6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2826_o ,open_n120495}),
.q({open_n120499,\u_logic/Kl0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19224)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2827|u_logic/_al_u4146 (
.c({\u_logic/Y0gbx6 ,\u_logic/Lg1bx6 }),
.d({\u_logic/Zzohu6 ,\u_logic/D70bx6 }),
.f({\u_logic/_al_u2827_o ,\u_logic/F5uow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2828|u_logic/_al_u3156 (
.a({open_n120524,\u_logic/Fviow6 }),
.b({open_n120525,\u_logic/Yvgiu6 }),
.c({\u_logic/Dt1bx6 ,\u_logic/M6eiu6 }),
.d({\u_logic/I1phu6 ,\u_logic/Ofmpw6 }),
.e({open_n120528,\u_logic/Pt7ax6 }),
.f({\u_logic/_al_u2828_o ,\u_logic/Uosiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*B*~A)"),
//.LUT1("(~1*~D*~C*B*~A)"),
.INIT_LUT0(16'b0000000000000100),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2829 (
.a({\u_logic/_al_u2821_o ,\u_logic/_al_u2821_o }),
.b({\u_logic/_al_u2825_o ,\u_logic/_al_u2825_o }),
.c({\u_logic/_al_u2826_o ,\u_logic/_al_u2826_o }),
.d({\u_logic/_al_u2827_o ,\u_logic/_al_u2827_o }),
.mi({open_n120561,\u_logic/_al_u2828_o }),
.fx({open_n120566,\u_logic/_al_u2829_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(~C*B)*~(~D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1111001101010001),
.MODE("LOGIC"))
\u_logic/_al_u2830|u_logic/_al_u3179 (
.a({\u_logic/W1phu6 ,\u_logic/Yvgiu6 }),
.b({\u_logic/Uwdpw6 ,\u_logic/M6eiu6 }),
.c({\u_logic/Rijbx6 ,\u_logic/Tmjbx6 }),
.d({\u_logic/Yxrpw6 ,\u_logic/Uojbx6 }),
.f({\u_logic/_al_u2830_o ,\u_logic/_al_u3179_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*C)*~(~0*B))"),
//.LUTF1("(~D*~A*~(C*B))"),
//.LUTG0("(A*~(~D*C)*~(~1*B))"),
//.LUTG1("(~D*~A*~(C*B))"),
.INIT_LUTF0(16'b0010001000000010),
.INIT_LUTF1(16'b0000000000010101),
.INIT_LUTG0(16'b1010101000001010),
.INIT_LUTG1(16'b0000000000010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2831|u_logic/_al_u2820 (
.a({\u_logic/_al_u2027_o ,\u_logic/_al_u2819_o }),
.b({\u_logic/_al_u1066_o ,\u_logic/Y2phu6 }),
.c({\u_logic/_al_u2030_o ,\u_logic/P1phu6 }),
.d({\u_logic/Z71bx6 ,\u_logic/Jx1bx6 }),
.e({open_n120591,\u_logic/Lg1bx6 }),
.f({\u_logic/_al_u2831_o ,\u_logic/_al_u2820_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20153)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2832|u_logic/P0ibx6_reg (
.b({open_n120614,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Rk1bx6 ,\u_logic/Oyhbx6 }),
.clk(clk_pad),
.d({\u_logic/K2phu6 ,\u_logic/_al_u1561_o }),
.f({\u_logic/_al_u2832_o ,open_n120633}),
.q({open_n120637,\u_logic/P0ibx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20153)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~0*~D*C*B*A)"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~1*~D*C*B*A)"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2833|u_logic/_al_u3170 (
.a({\u_logic/_al_u2820_o ,\u_logic/L9mow6 }),
.b({\u_logic/_al_u2829_o ,\u_logic/Yvgiu6 }),
.c({\u_logic/_al_u2830_o ,\u_logic/M6eiu6 }),
.d({\u_logic/_al_u2831_o ,\u_logic/Tptpw6 }),
.e({\u_logic/_al_u2832_o ,\u_logic/Vrtpw6 }),
.f({\u_logic/_al_u2833_o ,\u_logic/Bisiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~D*C)*~(~0*A))"),
//.LUTF1("(~(~D*B)*~(~C*A))"),
//.LUTG0("(B*~(~D*C)*~(~1*A))"),
//.LUTG1("(~(~D*B)*~(~C*A))"),
.INIT_LUTF0(16'b0100010000000100),
.INIT_LUTF1(16'b1111010100110001),
.INIT_LUTG0(16'b1100110000001100),
.INIT_LUTG1(16'b1111010100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2834|u_logic/_al_u2835 (
.a({\u_logic/Qyohu6 ,\u_logic/Xyohu6 }),
.b({\u_logic/Cyohu6 ,\u_logic/_al_u2834_o }),
.c({\u_logic/Bc3bx6 ,\u_logic/Jyohu6 }),
.d({\u_logic/Hg3bx6 ,\u_logic/Tcipw6 }),
.e({open_n120662,\u_logic/V73bx6 }),
.f({\u_logic/_al_u2834_o ,\u_logic/_al_u2835_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19434)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(~(~D*B)*~(~C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b1111010100110001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2836|u_logic/Rm2bx6_reg (
.a({\u_logic/Lzohu6 ,\u_logic/_al_u1949_o }),
.b({\u_logic/Ezohu6 ,\u_logic/_al_u1066_o }),
.c({\u_logic/Jz2bx6 ,\u_logic/_al_u1940_o }),
.clk(clk_pad),
.d({\u_logic/P33bx6 ,\u_logic/_al_u1914_o }),
.sr(cpuresetn),
.f({\u_logic/Zi5iu6 ,\u_logic/Gwdpw6 }),
.q({open_n120699,\u_logic/Rm2bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19434)
// ../rtl/topmodule/cortexm0ds_logic.v(19254)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(C*B*A*~(~0*~D))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(C*B*A*~(~1*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b1000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2839|u_logic/Pv0bx6_reg (
.a({\u_logic/_al_u2817_o ,HWDATA[25]}),
.b({\u_logic/_al_u2833_o ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/_al_u2838_o ,\u_logic/Pv0bx6 }),
.clk(clk_pad),
.d({\u_logic/Li5iu6 ,\u_logic/Ztupw6 }),
.e({\u_logic/T8kbx6 ,open_n120701}),
.sr(cpuresetn),
.f({\u_logic/_al_u2839_o ,open_n120716}),
.q({open_n120720,\u_logic/Pv0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19254)
// ../rtl/topmodule/cortexm0ds_logic.v(17768)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2840|u_logic/F9vpw6_reg (
.b({open_n120723,\u_logic/H9row6_lutinv }),
.c({\u_logic/Pdyax6 ,\u_logic/vis_ipsr_o[1] }),
.ce(\u_logic/n3724 ),
.clk(clk_pad),
.d({\u_logic/Npghu6 ,\u_logic/M8row6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u2840_o ,\u_logic/B6cpw6 }),
.q({open_n120739,\u_logic/F9vpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17768)
// ../rtl/topmodule/cortexm0ds_logic.v(18733)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~(C*~B))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("~(~D*~(C*~B))"),
//.LUTG1("(~D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100110000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b1111111100110000),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2841|u_logic/Halax6_reg (
.a({\u_logic/Bepiu6 ,open_n120740}),
.b({\u_logic/TXEV ,\u_logic/_al_u1081_o }),
.c({\u_logic/Wofiu6_lutinv ,\u_logic/Halax6 }),
.clk(clk_pad),
.d({\u_logic/Z9opw6 ,\u_logic/_al_u1084_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2841_o ,open_n120758}),
.q({open_n120762,\u_logic/Halax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18733)
// ../rtl/topmodule/cortexm0ds_logic.v(19956)
EG_PHY_MSLICE #(
//.LUT0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010001111101111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2847|u_logic/Hpcbx6_reg (
.a({\u_logic/HALTED ,\u_logic/_al_u633_o }),
.b({\u_logic/Jcpow6 ,\u_logic/_al_u657_o }),
.c({\u_logic/Rzciu6_lutinv ,\u_logic/Nfqpw6 }),
.ce(\u_logic/n332 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/C1wpw6 ,\u_logic/Wt3qw6 }),
.mi({open_n120773,\u_logic/J4cbx6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u2847_o ,\u_logic/V52iu6 }),
.q({open_n120777,\u_logic/Hpcbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19956)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~C*~B*~A))"),
//.LUTF1("(~D*~(C*B*~A))"),
//.LUTG0("(D*~(~C*~B*~A))"),
//.LUTG1("(~D*~(C*B*~A))"),
.INIT_LUTF0(16'b1111111000000000),
.INIT_LUTF1(16'b0000000010111111),
.INIT_LUTG0(16'b1111111000000000),
.INIT_LUTG1(16'b0000000010111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2848|u_logic/_al_u1570 (
.a({\u_logic/LOCKUP ,\u_logic/_al_u1066_o }),
.b({\u_logic/_al_u2846_o ,\u_logic/_al_u1569_o }),
.c({\u_logic/_al_u2712_o ,\u_logic/_al_u960_o }),
.d({\u_logic/_al_u2847_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/R05iu6 ,\u_logic/Fkliu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u284|u_logic/_al_u290 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/V70iu6 ,\u_logic/O70iu6 }),
.f({\u_logic/Mifpw6 [24],\u_logic/Mifpw6 [25]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2850|u_logic/_al_u2911 (
.a({open_n120826,\u_logic/R05iu6 }),
.b({open_n120827,\u_logic/_al_u2847_o }),
.c({\u_logic/Ydopw6 ,\u_logic/Z54iu6 }),
.d({\u_logic/vis_pc_o[0] ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u2850_o ,\u_logic/_al_u2911_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~D*~(B)*~(A)+~D*B*~(A)+~(~D)*B*A+~D*B*A))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(C*(~D*~(B)*~(A)+~D*B*~(A)+~(~D)*B*A+~D*B*A))"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b1000000011010000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1000000011010000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2851|u_logic/_al_u1084 (
.a({open_n120852,\u_logic/Uzaiu6 }),
.b({\u_logic/_al_u2850_o ,\u_logic/_al_u1068_o }),
.c({\u_logic/U8jax6 ,\u_logic/_al_u1083_o }),
.d({\u_logic/Vihiu6_lutinv ,\u_logic/vis_pc_o[1] }),
.f({\u_logic/M15iu6 ,\u_logic/_al_u1084_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18642)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*D)"),
//.LUTF1("(~C*~(B*D))"),
//.LUTG0("~(~C*D)"),
//.LUTG1("(~C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b0000001100001111),
.INIT_LUTG0(16'b1111000011111111),
.INIT_LUTG1(16'b0000001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2853|u_logic/Tajax6_reg (
.b({HRDATA[14],open_n120879}),
.c({\u_logic/Jvvpw6 ,\u_logic/Pexpw6 }),
.ce(\u_logic/n4330 ),
.clk(clk_pad),
.d({\u_logic/Vobiu6_lutinv ,\u_logic/Vobiu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u2853_o ,\u_logic/Wz4iu6 }),
.q({open_n120899,\u_logic/Tajax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18642)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~(D*B)*~(~C*A))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0011000111110101),
.MODE("LOGIC"))
\u_logic/_al_u2854|u_logic/_al_u4395 (
.a({\u_logic/M15iu6 ,open_n120900}),
.b({\u_logic/Uy4iu6 ,open_n120901}),
.c({\u_logic/_al_u2853_o ,\u_logic/_al_u2858_o }),
.d({\u_logic/Swjbx6 ,\u_logic/_al_u4358_o }),
.f({\u_logic/_al_u2854_o ,\u_logic/_al_u4395_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2855|u_logic/_al_u2849 (
.c({\u_logic/X7ypw6 ,\u_logic/Wofiu6_lutinv }),
.d({\u_logic/Vihiu6_lutinv ,\u_logic/R05iu6 }),
.f({\u_logic/A25iu6 ,\u_logic/Vihiu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17614)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*C))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011101110111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2856|u_logic/Hgrpw6_reg (
.a({\u_logic/A25iu6 ,\u_logic/_al_u2859_o }),
.b({\u_logic/R05iu6 ,\u_logic/_al_u2861_o }),
.c({\u_logic/Dxvpw6 ,\u_logic/A25iu6 }),
.clk(clk_pad),
.d({\u_logic/E6iax6 ,\u_logic/L4lax6 }),
.sr(cpuresetn),
.f({\u_logic/Uu8iu6 ,open_n120963}),
.q({open_n120967,\u_logic/Hgrpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17614)
// ../rtl/topmodule/cortexm0ds_logic.v(18240)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*C)*~(0*~(B*A)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(~(D*C)*~(1*~(B*A)))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2858|u_logic/Xnbax6_reg (
.a({open_n120968,\u_logic/Scbiu6 }),
.b({open_n120969,\u_logic/O34iu6 }),
.c({\u_logic/Jvvpw6 ,\u_logic/_al_u388_o }),
.clk(clk_pad),
.d({\u_logic/Vobiu6_lutinv ,\u_logic/Vzupw6 }),
.e({open_n120971,\u_logic/Xnbax6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u2858_o ,open_n120986}),
.q({open_n120990,\u_logic/Xnbax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18240)
// ../rtl/topmodule/cortexm0ds_logic.v(20241)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*~B)*~(0*~C*~A))"),
//.LUTF1("(~(D*B)*~(~C*A))"),
//.LUTG0("~(~(D*~B)*~(1*~C*~A))"),
//.LUTG1("(~(D*B)*~(~C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100000000),
.INIT_LUTF1(16'b0011000111110101),
.INIT_LUTG0(16'b0011011100000101),
.INIT_LUTG1(16'b0011000111110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2859|u_logic/Qakbx6_reg (
.a({\u_logic/M15iu6 ,\u_logic/Bofiu6_lutinv }),
.b({\u_logic/Uy4iu6 ,\u_logic/_al_u1081_o }),
.c({\u_logic/_al_u2858_o ,\u_logic/n3724 }),
.clk(clk_pad),
.d({\u_logic/Tajax6 ,\u_logic/Qakbx6 }),
.e({open_n120992,\u_logic/Vygax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2859_o ,open_n121007}),
.q({open_n121011,\u_logic/Qakbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20241)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*~(D*C*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0100110011001100),
.MODE("LOGIC"))
\u_logic/_al_u2861|u_logic/_al_u2881 (
.a({\u_logic/Vihiu6_lutinv ,\u_logic/A25iu6 }),
.b({\u_logic/_al_u2860_o ,\u_logic/R05iu6 }),
.c({\u_logic/Wz4iu6 ,\u_logic/F4iax6 }),
.d({\u_logic/_al_u2850_o ,\u_logic/Jckax6 }),
.f({\u_logic/_al_u2861_o ,\u_logic/U7hiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18250)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(D*C*~B*A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(D*C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0010000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2863|u_logic/Yybax6_reg (
.a({\u_logic/Cq3qw6 ,open_n121032}),
.b({\u_logic/Vqgax6 ,open_n121033}),
.c({\u_logic/Wc2qw6 ,\u_logic/Gwwpw6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Ydgax6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u2863_o ,\u_logic/N64iu6 }),
.q({open_n121054,\u_logic/Yybax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18250)
// ../rtl/topmodule/cortexm0ds_logic.v(17773)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*A*~(D*C))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("~(~B*A*~(D*C))"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110111011101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111110111011101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2864|u_logic/Gbvpw6_reg (
.a({open_n121055,\u_logic/_al_u3130_o }),
.b({\u_logic/_al_u2863_o ,\u_logic/_al_u3140_o }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/Ym3qw6 }),
.f({\u_logic/Nr4iu6_lutinv ,open_n121074}),
.q({open_n121078,\u_logic/Gbvpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17773)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(0*B)*~(D*A))"),
//.LUTF1("(C*~(0*B)*~(D*A))"),
//.LUTG0("(C*~(1*B)*~(D*A))"),
//.LUTG1("(C*~(1*B)*~(D*A))"),
.INIT_LUTF0(16'b0101000011110000),
.INIT_LUTF1(16'b0101000011110000),
.INIT_LUTG0(16'b0001000000110000),
.INIT_LUTG1(16'b0001000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2867|u_logic/_al_u2872 (
.a({\u_logic/A25iu6 ,\u_logic/A25iu6 }),
.b({\u_logic/Uy4iu6 ,\u_logic/Uy4iu6 }),
.c({\u_logic/_al_u2866_o ,\u_logic/_al_u2871_o }),
.d({\u_logic/T5mpw6 ,\u_logic/Jpmpw6 }),
.e({\u_logic/Tujbx6 ,\u_logic/Usjbx6 }),
.f({\u_logic/_al_u2867_o ,\u_logic/_al_u2872_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18563)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(D*C*A))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110110011001100),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2868|u_logic/G2iax6_reg (
.a({open_n121101,\u_logic/A2ciu6_lutinv }),
.b({open_n121102,\u_logic/_al_u4404_o }),
.c({\u_logic/_al_u2858_o ,\u_logic/_al_u4395_o }),
.clk(clk_pad),
.d({\u_logic/M15iu6 ,HRDATA[4]}),
.f({\u_logic/Pp7iu6 ,open_n121117}),
.q({open_n121121,\u_logic/G2iax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18563)
// ../rtl/topmodule/cortexm0ds_logic.v(18565)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUT1("(C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010101000001000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2869|u_logic/E6iax6_reg (
.a({open_n121122,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/Wz4iu6 ,\u_logic/_al_u4358_o }),
.c({\u_logic/_al_u2850_o ,\u_logic/_al_u2853_o }),
.clk(clk_pad),
.d({\u_logic/Vihiu6_lutinv ,\u_logic/E6iax6 }),
.f({\u_logic/Kq7iu6 ,open_n121137}),
.q({open_n121141,\u_logic/E6iax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18565)
EG_PHY_MSLICE #(
//.LUT0("(C*~(D*B)*~(0*A))"),
//.LUT1("(C*~(D*B)*~(1*A))"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0001000001010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2875 (
.a({\u_logic/A25iu6 ,\u_logic/A25iu6 }),
.b({\u_logic/Uy4iu6 ,\u_logic/Uy4iu6 }),
.c({\u_logic/_al_u2874_o ,\u_logic/_al_u2874_o }),
.d({\u_logic/Vqjbx6 ,\u_logic/Vqjbx6 }),
.mi({open_n121154,\u_logic/Xiipw6 }),
.fx({open_n121159,\u_logic/_al_u2875_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2877 (
.a({open_n121162,\u_logic/Pp7iu6 }),
.b({open_n121163,\u_logic/Kq7iu6 }),
.c({open_n121164,HRDATA[20]}),
.d({open_n121167,HRDATA[4]}),
.f({open_n121181,\u_logic/_al_u2877_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2878|u_logic/_al_u2393 (
.a({\u_logic/A25iu6 ,open_n121187}),
.b({\u_logic/R05iu6 ,\u_logic/_al_u1098_o }),
.c({\u_logic/G2iax6 ,\u_logic/T1vpw6 }),
.d({\u_logic/Jgxpw6 ,\u_logic/_al_u390_o }),
.f({\u_logic/Y9hiu6 ,\u_logic/_al_u2393_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20213)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(B*D))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110011110000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2880|u_logic/Swjbx6_reg (
.a({\u_logic/Pp7iu6 ,open_n121212}),
.b({\u_logic/Kq7iu6 ,HRDATA[30]}),
.c({HRDATA[21],\u_logic/Pexpw6 }),
.ce(\u_logic/n4330 ),
.clk(clk_pad),
.d({HRDATA[5],\u_logic/Vobiu6_lutinv }),
.f({\u_logic/_al_u2880_o ,\u_logic/Rw8iu6 }),
.q({open_n121229,\u_logic/Swjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20213)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2883|u_logic/_al_u2892 (
.a({\u_logic/Pp7iu6 ,\u_logic/Pp7iu6 }),
.b({\u_logic/Kq7iu6 ,\u_logic/Kq7iu6 }),
.c({HRDATA[22],HRDATA[26]}),
.d({HRDATA[6],HRDATA[10]}),
.f({\u_logic/_al_u2883_o ,\u_logic/_al_u2892_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u2884|u_logic/_al_u2896 (
.a({\u_logic/A25iu6 ,\u_logic/A25iu6 }),
.b({\u_logic/R05iu6 ,\u_logic/R05iu6 }),
.c({\u_logic/E8iax6 ,\u_logic/Sojax6 }),
.d({\u_logic/W4jax6 ,\u_logic/Wyiax6 }),
.f({\u_logic/Q5hiu6 ,\u_logic/Anciu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18629)
EG_PHY_LSLICE #(
//.LUTF0("~(B*A*~(D*C))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(B*A*~(D*C))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011101110111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2886|u_logic/W4jax6_reg (
.a({\u_logic/R05iu6 ,\u_logic/_al_u2883_o }),
.b({\u_logic/_al_u2847_o ,\u_logic/Q5hiu6 }),
.c({\u_logic/Df4iu6 ,\u_logic/Uy4iu6 }),
.clk(clk_pad),
.d({\u_logic/N4kax6 ,\u_logic/L8kax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2886_o ,open_n121291}),
.q({open_n121295,\u_logic/W4jax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18629)
EG_PHY_MSLICE #(
//.LUT0("(C*~(D*B)*~(0*A))"),
//.LUT1("(C*~(D*B)*~(1*A))"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0001000001010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2887 (
.a({\u_logic/A25iu6 ,\u_logic/A25iu6 }),
.b({\u_logic/Uy4iu6 ,\u_logic/Uy4iu6 }),
.c({\u_logic/_al_u2886_o ,\u_logic/_al_u2886_o }),
.d({\u_logic/O2kax6 ,\u_logic/O2kax6 }),
.mi({open_n121308,\u_logic/Zqiax6 }),
.fx({open_n121313,\u_logic/_al_u2887_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18649)
EG_PHY_LSLICE #(
//.LUTF0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0111001101000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2889|u_logic/Sgjax6_reg (
.a({\u_logic/Pp7iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/Kq7iu6 ,\u_logic/n4330 }),
.c({HRDATA[25],HRDATA[29]}),
.clk(clk_pad),
.d({HRDATA[9],\u_logic/Sgjax6 }),
.f({\u_logic/_al_u2889_o ,open_n121334}),
.q({open_n121338,\u_logic/Sgjax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18649)
// ../rtl/topmodule/cortexm0ds_logic.v(18673)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*C))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011101110111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2890|u_logic/Rwjax6_reg (
.a({\u_logic/Uy4iu6 ,\u_logic/_al_u2889_o }),
.b({\u_logic/R05iu6 ,\u_logic/_al_u2890_o }),
.c({\u_logic/Rwjax6 ,\u_logic/A25iu6 }),
.clk(clk_pad),
.d({\u_logic/Sujax6 ,\u_logic/Xuiax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2890_o ,open_n121352}),
.q({open_n121356,\u_logic/Rwjax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18673)
// ../rtl/topmodule/cortexm0ds_logic.v(18666)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*C))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011101110111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2893|u_logic/Ssjax6_reg (
.a({\u_logic/Uy4iu6 ,\u_logic/_al_u2892_o }),
.b({\u_logic/R05iu6 ,\u_logic/_al_u2893_o }),
.c({\u_logic/Sqjax6 ,\u_logic/A25iu6 }),
.clk(clk_pad),
.d({\u_logic/Ssjax6 ,\u_logic/Wwiax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2893_o ,open_n121370}),
.q({open_n121374,\u_logic/Ssjax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18666)
// ../rtl/topmodule/cortexm0ds_logic.v(18685)
EG_PHY_MSLICE #(
//.LUT0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2895|u_logic/O2kax6_reg (
.a({\u_logic/Pp7iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/Kq7iu6 ,\u_logic/n4330 }),
.c({HRDATA[27],HRDATA[23]}),
.clk(clk_pad),
.d({HRDATA[11],\u_logic/O2kax6 }),
.f({\u_logic/_al_u2895_o ,open_n121389}),
.q({open_n121393,\u_logic/O2kax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18685)
// ../rtl/topmodule/cortexm0ds_logic.v(18650)
EG_PHY_MSLICE #(
//.LUT0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2898|u_logic/Sijax6_reg (
.a({\u_logic/Pp7iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/Kq7iu6 ,\u_logic/n4330 }),
.c({HRDATA[28],HRDATA[28]}),
.clk(clk_pad),
.d({HRDATA[12],\u_logic/Sijax6 }),
.f({\u_logic/_al_u2898_o ,open_n121408}),
.q({open_n121412,\u_logic/Sijax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18650)
// ../rtl/topmodule/cortexm0ds_logic.v(18678)
EG_PHY_MSLICE #(
//.LUT0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2901|u_logic/Qyjax6_reg (
.a({\u_logic/Pp7iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/Kq7iu6 ,\u_logic/n4330 }),
.c({HRDATA[24],HRDATA[24]}),
.clk(clk_pad),
.d({HRDATA[8],\u_logic/Qyjax6 }),
.f({\u_logic/_al_u2901_o ,open_n121427}),
.q({open_n121431,\u_logic/Qyjax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18678)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2904|u_logic/_al_u4452 (
.a({\u_logic/Pp7iu6 ,\u_logic/Rw1iu6 }),
.b({\u_logic/Kq7iu6 ,\u_logic/_al_u4450_o }),
.c({HRDATA[16],\u_logic/Q2eow6 }),
.d({HRDATA[0],HRDATA[31]}),
.f({\u_logic/_al_u2904_o ,\u_logic/_al_u4452_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*~(C*D))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000110011001100),
.MODE("LOGIC"))
\u_logic/_al_u2906|u_logic/_al_u2871 (
.a({open_n121456,\u_logic/R05iu6 }),
.b({\u_logic/_al_u2905_o ,\u_logic/_al_u2847_o }),
.c({\u_logic/Tcjax6 ,\u_logic/Ud4iu6 }),
.d({\u_logic/Uy4iu6 ,\u_logic/Irmpw6 }),
.f({\u_logic/_al_u2906_o ,\u_logic/_al_u2871_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18664)
EG_PHY_LSLICE #(
//.LUTF0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0111001101000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2908|u_logic/Sqjax6_reg (
.a({\u_logic/Pp7iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/Kq7iu6 ,\u_logic/n4330 }),
.c({HRDATA[29],HRDATA[26]}),
.clk(clk_pad),
.d({HRDATA[13],\u_logic/Sqjax6 }),
.f({\u_logic/_al_u2908_o ,open_n121495}),
.q({open_n121499,\u_logic/Sqjax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18664)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2909|u_logic/_al_u2902 (
.a({\u_logic/A25iu6 ,\u_logic/A25iu6 }),
.b({\u_logic/R05iu6 ,\u_logic/R05iu6 }),
.c({\u_logic/P14qw6 ,\u_logic/P0kax6 }),
.d({\u_logic/W2jax6 ,\u_logic/Ysiax6 }),
.f({\u_logic/U28iu6 ,\u_logic/Sjbiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(D*B)*~(0*A))"),
//.LUT1("(C*~(D*B)*~(1*A))"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0001000001010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2912 (
.a({\u_logic/A25iu6 ,\u_logic/A25iu6 }),
.b({\u_logic/Uy4iu6 ,\u_logic/Uy4iu6 }),
.c({\u_logic/_al_u2911_o ,\u_logic/_al_u2911_o }),
.d({\u_logic/Sejax6 ,\u_logic/Sejax6 }),
.mi({open_n121536,\u_logic/W8hbx6 }),
.fx({open_n121541,\u_logic/_al_u2912_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20105)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(B*~(~D*~(~C*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1100110000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2914|u_logic/Wahbx6_reg (
.a({\u_logic/HMASTER ,open_n121544}),
.b({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,open_n121545}),
.c({\u_logic/Jxgax6 ,\u_logic/Nv3qw6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Wvgax6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u2914_o ,\u_logic/M94iu6 }),
.q({open_n121562,\u_logic/Wahbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20105)
// ../rtl/topmodule/cortexm0ds_logic.v(17937)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*D))"),
//.LUT1("(D*~(~C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001100110011),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2915|u_logic/Qa1qw6_reg (
.b({\u_logic/Gpqpw6 ,\u_logic/_al_u1039_o }),
.c({\u_logic/Nmfax6 ,\u_logic/M81qw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u129_o ,\u_logic/Vk1iu6 }),
.f({\u_logic/K7xiu6_lutinv ,open_n121579}),
.q({open_n121583,\u_logic/Qa1qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17937)
EG_PHY_MSLICE #(
//.LUT0("(D*~(~A*~(B)*~(C)+~A*B*~(C)+~(~A)*B*C+~A*B*C))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0011101000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2916|u_logic/_al_u2972 (
.a({open_n121584,\u_logic/_al_u2914_o }),
.b({open_n121585,\u_logic/_al_u2916_o }),
.c({\u_logic/J0gax6 ,\u_logic/Sqfax6 }),
.d({\u_logic/K7xiu6_lutinv ,\u_logic/Uofax6 }),
.f({\u_logic/_al_u2916_o ,\u_logic/D7xiu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(~C*A))"),
//.LUTF1("(C*B*~D)"),
//.LUTG0("(~(D*B)*~(~C*A))"),
//.LUTG1("(C*B*~D)"),
.INIT_LUTF0(16'b0011000111110101),
.INIT_LUTF1(16'b0000000011000000),
.INIT_LUTG0(16'b0011000111110101),
.INIT_LUTG1(16'b0000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2918|u_logic/_al_u4547 (
.a({open_n121606,\u_logic/_al_u4450_o }),
.b({\u_logic/Iiliu6 ,\u_logic/Q2eow6 }),
.c({\u_logic/_al_u1150_o ,\u_logic/Umuiu6 }),
.d({\u_logic/_al_u2713_o ,HRDATA[21]}),
.f({\u_logic/Hz0iu6 ,\u_logic/_al_u4547_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18176)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2922|u_logic/Hz9ax6_reg (
.b({\u_logic/Ay8iu6 ,open_n121633}),
.c({\u_logic/J71iu6_lutinv ,\u_logic/Eagax6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/_al_u2713_o ,\u_logic/_al_u3611_o }),
.mi({open_n121644,\u_logic/O34iu6 }),
.f({\u_logic/My0iu6 ,\u_logic/_al_u3647_o }),
.q({open_n121649,\u_logic/Hz9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18176)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~(~C*~B)))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000001010101010),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u2924|u_logic/_al_u2948 (
.a({open_n121650,\u_logic/Nr4iu6_lutinv }),
.b({open_n121651,\u_logic/_al_u2939_o }),
.c({\u_logic/_al_u2776_o ,\u_logic/_al_u2944_o }),
.d({\u_logic/Am6iu6_lutinv ,\u_logic/_al_u2947_o }),
.f({\u_logic/C17iu6_lutinv ,\u_logic/_al_u2948_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*~B)*~(C*A))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~(D*~B)*~(C*A))"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0100110001011111),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0100110001011111),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2926|u_logic/_al_u3153 (
.a({\u_logic/Dpwpw6 ,\u_logic/Bo1iu6 }),
.b({\u_logic/Jl3qw6 ,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/Ym3qw6 ,\u_logic/B79bx6 }),
.d({\u_logic/Yubbx6 ,\u_logic/Bu6bx6 }),
.f({\u_logic/Mz6iu6 ,\u_logic/_al_u3153_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*A)"),
//.LUT1("(D*~(C@B))"),
.INIT_LUT0(16'b0000000000100000),
.INIT_LUT1(16'b1100001100000000),
.MODE("LOGIC"))
\u_logic/_al_u2927|u_logic/_al_u2929 (
.a({open_n121696,\u_logic/_al_u2927_o }),
.b({\u_logic/Ad7ax6 ,\u_logic/Kl8ax6 }),
.c({\u_logic/Yvabx6 ,\u_logic/Su8ax6 }),
.d({\u_logic/Mz6iu6 ,\u_logic/Yvabx6 }),
.f({\u_logic/_al_u2927_o ,\u_logic/_al_u2929_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*~(~B*~A)))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(C*~(D*~(~B*~A)))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0001000011110000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0001000011110000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2928|u_logic/_al_u2940 (
.a({open_n121717,\u_logic/Am6iu6_lutinv }),
.b({\u_logic/Kl8ax6 ,\u_logic/_al_u2776_o }),
.c({\u_logic/Su8ax6 ,\u_logic/_al_u2928_o }),
.d({\u_logic/_al_u2927_o ,\u_logic/Yvabx6 }),
.f({\u_logic/_al_u2928_o ,\u_logic/_al_u2940_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTG0("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2930 (
.c({open_n121746,\u_logic/_al_u2929_o }),
.d({open_n121749,\u_logic/_al_u2928_o }),
.f({open_n121767,\u_logic/E27iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2931|u_logic/_al_u2932 (
.a({\u_logic/Ad7ax6 ,\u_logic/_al_u2931_o }),
.b({\u_logic/Dpwpw6 ,\u_logic/Kl8ax6 }),
.c({\u_logic/Jl3qw6 ,\u_logic/Su8ax6 }),
.d({\u_logic/Yvabx6 ,\u_logic/Ym3qw6 }),
.e({open_n121775,\u_logic/Yubbx6 }),
.f({\u_logic/_al_u2931_o ,\u_logic/_al_u2932_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)*~((~0*A))+~(B)*C*~(D)*~((~0*A))+B*C*~(D)*~((~0*A))+B*~(C)*D*~((~0*A))+B*C*D*~((~0*A))+B*~(C)*~(D)*(~0*A)+~(B)*C*~(D)*(~0*A)+B*C*~(D)*(~0*A))"),
//.LUT1("(B*~(C)*~(D)*~((~1*A))+~(B)*C*~(D)*~((~1*A))+B*C*~(D)*~((~1*A))+B*~(C)*D*~((~1*A))+B*C*D*~((~1*A))+B*~(C)*~(D)*(~1*A)+~(B)*C*~(D)*(~1*A)+B*C*~(D)*(~1*A))"),
.INIT_LUT0(16'b0100010011111100),
.INIT_LUT1(16'b1100110011111100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2933 (
.a({\u_logic/C17iu6_lutinv ,\u_logic/C17iu6_lutinv }),
.b({\u_logic/_al_u2925_o ,\u_logic/_al_u2925_o }),
.c({\u_logic/E27iu6_lutinv ,\u_logic/E27iu6_lutinv }),
.d({\u_logic/_al_u2932_o ,\u_logic/_al_u2932_o }),
.mi({open_n121808,\u_logic/Pg3qw6 }),
.fx({open_n121813,\u_logic/_al_u2933_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18136)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(D*~C)*~(0*B))"),
//.LUTF1("(D*C*B*~A)"),
//.LUTG0("~(~A*~(D*~C)*~(1*B))"),
//.LUTG1("(D*C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010111110101010),
.INIT_LUTF1(16'b0100000000000000),
.INIT_LUTG0(16'b1110111111101110),
.INIT_LUTG1(16'b0100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2934|u_logic/Hw8ax6_reg (
.a({\u_logic/_al_u2933_o ,\u_logic/_al_u2948_o }),
.b({\u_logic/Nd3qw6 ,\u_logic/Nr4iu6_lutinv }),
.c({\u_logic/Vn9bx6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Yf1qw6 ,\u_logic/Hw8ax6 }),
.e({open_n121817,\u_logic/Su8ax6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u2934_o ,open_n121832}),
.q({open_n121836,\u_logic/Hw8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18136)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(D*~C*B*A)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000100000000000),
.MODE("LOGIC"))
\u_logic/_al_u2936|u_logic/_al_u3994 (
.a({\u_logic/Mz6iu6 ,open_n121837}),
.b({\u_logic/Kl8ax6 ,\u_logic/B79bx6 }),
.c({\u_logic/Su8ax6 ,\u_logic/Pg3qw6 }),
.d({\u_logic/Yvabx6 ,\u_logic/n5754 }),
.f({\u_logic/_al_u2936_o ,\u_logic/_al_u3994_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*~B*A))"),
//.LUTF1("(D*~A*~(~C*~B))"),
//.LUTG0("(~C*~(D*~B*A))"),
//.LUTG1("(D*~A*~(~C*~B))"),
.INIT_LUTF0(16'b0000110100001111),
.INIT_LUTF1(16'b0101010000000000),
.INIT_LUTG0(16'b0000110100001111),
.INIT_LUTG1(16'b0101010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2938|u_logic/_al_u2925 (
.a({\u_logic/_al_u2935_o ,\u_logic/Am6iu6_lutinv }),
.b({\u_logic/Am6iu6_lutinv ,\u_logic/_al_u2776_o }),
.c({\u_logic/_al_u2776_o ,\u_logic/Bf3qw6 }),
.d({\u_logic/_al_u2937_o ,\u_logic/Pg3qw6 }),
.f({\u_logic/_al_u2938_o ,\u_logic/_al_u2925_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D@C))"),
//.LUT1("(D*C*~(~B*~A))"),
.INIT_LUT0(16'b1000000000001000),
.INIT_LUT1(16'b1110000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2939|u_logic/_al_u2937 (
.a({\u_logic/_al_u2934_o ,\u_logic/_al_u2936_o }),
.b({\u_logic/_al_u2938_o ,\u_logic/Ad7ax6 }),
.c({\u_logic/B79bx6 ,\u_logic/Bf3qw6 }),
.d({\u_logic/H4ypw6 ,\u_logic/Pg3qw6 }),
.f({\u_logic/_al_u2939_o ,\u_logic/_al_u2937_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*(A*~(B)*~(D)*~(0)+~(A)*~(B)*D*~(0)+A*~(B)*D*~(0)+A*B*D*~(0)+~(A)*B*~(D)*0+~(A)*~(B)*D*0+A*~(B)*D*0+A*B*D*0))"),
//.LUT1("(C*(A*~(B)*~(D)*~(1)+~(A)*~(B)*D*~(1)+A*~(B)*D*~(1)+A*B*D*~(1)+~(A)*B*~(D)*1+~(A)*~(B)*D*1+A*~(B)*D*1+A*B*D*1))"),
.INIT_LUT0(16'b1011000000100000),
.INIT_LUT1(16'b1011000001000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2942 (
.a({\u_logic/Am6iu6_lutinv ,\u_logic/Am6iu6_lutinv }),
.b({\u_logic/_al_u2776_o ,\u_logic/_al_u2776_o }),
.c({\u_logic/_al_u2929_o ,\u_logic/_al_u2929_o }),
.d({\u_logic/Bf3qw6 ,\u_logic/Bf3qw6 }),
.mi({open_n121914,\u_logic/Pg3qw6 }),
.fx({open_n121919,\u_logic/_al_u2942_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18090)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*D*C*B))"),
//.LUTF1("(~0*~D*~C*~B*~A)"),
//.LUTG0("(A*~(1*D*C*B))"),
//.LUTG1("(~1*~D*~C*~B*~A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2943|u_logic/Va7ax6_reg (
.a({\u_logic/H4ypw6 ,\u_logic/_al_u2981_o }),
.b({\u_logic/Ke1qw6 ,\u_logic/Nkwiu6 }),
.c({\u_logic/Nd3qw6 ,\u_logic/Q4wiu6_lutinv }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vn9bx6 ,\u_logic/D43qw6 }),
.e({\u_logic/Yf1qw6 ,\u_logic/Pe7ax6 }),
.mi({open_n121923,\u_logic/E97ax6 }),
.f({\u_logic/_al_u2943_o ,\u_logic/Yw1iu6 }),
.q({open_n121939,\u_logic/Va7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18090)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C@B))"),
//.LUTF1("(C*~(~B*~(~D*A)))"),
//.LUTG0("(D*~(C@B))"),
//.LUTG1("(C*~(~B*~(~D*A)))"),
.INIT_LUTF0(16'b1100001100000000),
.INIT_LUTF1(16'b1100000011100000),
.INIT_LUTG0(16'b1100001100000000),
.INIT_LUTG1(16'b1100000011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2944|u_logic/_al_u2953 (
.a({\u_logic/Q87iu6_lutinv ,open_n121940}),
.b({\u_logic/_al_u2942_o ,\u_logic/Am6iu6_lutinv }),
.c({\u_logic/_al_u2943_o ,\u_logic/Su8ax6 }),
.d({\u_logic/Bf3qw6 ,\u_logic/Nr4iu6_lutinv }),
.f({\u_logic/_al_u2944_o ,\u_logic/_al_u2953_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19896)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2945|u_logic/Yvabx6_reg (
.a({\u_logic/Nwdbx6 ,open_n121965}),
.b({\u_logic/Q2ibx6 ,open_n121966}),
.c({\u_logic/Q4dbx6 ,\u_logic/Liabx6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Ufebx6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u2945_o ,\u_logic/L54iu6 }),
.q({open_n121987,\u_logic/Yvabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19896)
// ../rtl/topmodule/cortexm0ds_logic.v(18187)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~0*~D*~C*~B*A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~1*~D*~C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2946|u_logic/Yjaax6_reg (
.a({\u_logic/_al_u2945_o ,open_n121988}),
.b({\u_logic/Bvfbx6 ,open_n121989}),
.c({\u_logic/H7hbx6 ,\u_logic/C2ypw6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Nlcbx6 ,\u_logic/Iqzhu6_lutinv }),
.e({\u_logic/No3qw6 ,open_n121990}),
.f({\u_logic/_al_u2946_o ,\u_logic/J44iu6 }),
.q({open_n122009,\u_logic/Yjaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18187)
// ../rtl/topmodule/cortexm0ds_logic.v(17856)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(~C*B))"),
//.LUTF1("(B*~A*~(~D*C))"),
//.LUTG0("~(D*~(~C*B))"),
//.LUTG1("(B*~A*~(~D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011111111),
.INIT_LUTF1(16'b0100010000000100),
.INIT_LUTG0(16'b0000110011111111),
.INIT_LUTG1(16'b0100010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2947|u_logic/Gyxpw6_reg (
.a({\u_logic/Qc3pw6_lutinv ,open_n122010}),
.b({\u_logic/_al_u2946_o ,\u_logic/Wo1iu6 }),
.c({\u_logic/B79bx6 ,\u_logic/_al_u3171_o }),
.clk(clk_pad),
.d({\u_logic/Ke1qw6 ,\u_logic/_al_u3163_o }),
.f({\u_logic/_al_u2947_o ,open_n122029}),
.q({open_n122033,\u_logic/Gyxpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17856)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(D*(C@B))"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0011110000000000),
.MODE("LOGIC"))
\u_logic/_al_u2951|u_logic/_al_u3106 (
.b({\u_logic/Ad7ax6 ,open_n122036}),
.c({\u_logic/Vn9bx6 ,\u_logic/Qwfbx6 }),
.d({\u_logic/Nr4iu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.f({\u_logic/_al_u2951_o ,\u_logic/_al_u3106_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18126)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~A*~(D*~C))"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("~(~B*~A*~(D*~C))"),
//.LUTG1("(D*~(C@B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111111101110),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b1110111111101110),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2955|u_logic/Zm8ax6_reg (
.a({open_n122057,\u_logic/_al_u2948_o }),
.b({\u_logic/Am6iu6_lutinv ,\u_logic/_al_u2957_o }),
.c({\u_logic/Pg3qw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Nr4iu6_lutinv ,\u_logic/Zm8ax6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u2955_o ,open_n122075}),
.q({open_n122079,\u_logic/Zm8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18126)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*B*~(C)*~(D)*0+A*B*C*~(D)*0+A*B*~(C)*D*0+A*B*C*D*0)"),
//.LUTF1("(D*(C@B))"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*B*~(C)*~(D)*1+A*B*C*~(D)*1+A*B*~(C)*D*1+A*B*C*D*1)"),
//.LUTG1("(D*(C@B))"),
.INIT_LUTF0(16'b1111111100101010),
.INIT_LUTF1(16'b0011110000000000),
.INIT_LUTG0(16'b1000100010001000),
.INIT_LUTG1(16'b0011110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2957|u_logic/_al_u2941 (
.a({open_n122080,\u_logic/_al_u2940_o }),
.b({\u_logic/_al_u2776_o ,\u_logic/Am6iu6_lutinv }),
.c({\u_logic/Kl8ax6 ,\u_logic/_al_u2776_o }),
.d({\u_logic/Nr4iu6_lutinv ,\u_logic/_al_u2932_o }),
.e({open_n122083,\u_logic/Pg3qw6 }),
.f({\u_logic/_al_u2957_o ,\u_logic/Q87iu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18018)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~A*~(D*~C))"),
//.LUT1("(D*(C@B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111111101110),
.INIT_LUT1(16'b0011110000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2959|u_logic/D43qw6_reg (
.a({open_n122104,\u_logic/_al_u2948_o }),
.b({\u_logic/_al_u2776_o ,\u_logic/_al_u2953_o }),
.c({\u_logic/Bf3qw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Nr4iu6_lutinv ,\u_logic/D43qw6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u2959_o ,open_n122118}),
.q({open_n122122,\u_logic/D43qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18018)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(~C*A))"),
//.LUTF1("(~B*~(A*~(~D*~C)))"),
//.LUTG0("(~(D*B)*~(~C*A))"),
//.LUTG1("(~B*~(A*~(~D*~C)))"),
.INIT_LUTF0(16'b0011000111110101),
.INIT_LUTF1(16'b0001000100010011),
.INIT_LUTG0(16'b0011000111110101),
.INIT_LUTG1(16'b0001000100010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2962|u_logic/_al_u4520 (
.a({\u_logic/_al_u2713_o ,\u_logic/_al_u4450_o }),
.b({\u_logic/_al_u2961_o ,\u_logic/Q2eow6 }),
.c({\u_logic/Iiliu6 ,\u_logic/Wtviu6 }),
.d({\u_logic/Ay8iu6 ,HRDATA[27]}),
.f({\u_logic/Z18iu6_lutinv ,\u_logic/_al_u4520_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(~C*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(D*B)*~(~C*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0011000111110101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0011000111110101),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2964|u_logic/_al_u4481 (
.a({open_n122147,\u_logic/_al_u4450_o }),
.b({open_n122148,\u_logic/Q2eow6 }),
.c({\u_logic/S18iu6 ,\u_logic/P8viu6 }),
.d({\u_logic/Z18iu6_lutinv ,HRDATA[24]}),
.f({\u_logic/E18iu6 ,\u_logic/_al_u4481_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20106)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*~C*~B*~A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000000100000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2965|u_logic/Tchbx6_reg (
.a({\u_logic/_al_u2359_o ,\u_logic/Cs1iu6 }),
.b({\u_logic/_al_u2361_o ,\u_logic/Vr1iu6 }),
.c({\u_logic/_al_u2363_o ,\u_logic/Dncax6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/_al_u2589_o ,\u_logic/Krbax6 }),
.mi({open_n122176,\u_logic/M94iu6 }),
.f({\u_logic/_al_u2965_o ,\u_logic/Hawiu6 }),
.q({open_n122192,\u_logic/Tchbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20106)
// ../rtl/topmodule/cortexm0ds_logic.v(17262)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~A*~(~C*B))"),
//.LUTF1("(~(~C*A)*~(D)*~(B)+~(~C*A)*D*~(B)+~(~(~C*A))*D*B+~(~C*A)*D*B)"),
//.LUTG0("~(D*~A*~(~C*B))"),
//.LUTG1("(~(~C*A)*~(D)*~(B)+~(~C*A)*D*~(B)+~(~(~C*A))*D*B+~(~C*A)*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010111011111111),
.INIT_LUTF1(16'b1111110100110001),
.INIT_LUTG0(16'b1010111011111111),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2966|u_logic/Isjpw6_reg (
.a({\u_logic/E18iu6 ,\u_logic/_al_u3465_o }),
.b({\u_logic/W7cow6 ,\u_logic/_al_u3375_o }),
.c({\u_logic/_al_u2965_o ,\u_logic/eq1/xor_i0[1]_i1[1]_o_lutinv }),
.ce(\u_logic/Kt4iu6 ),
.clk(clk_pad),
.d({\u_logic/I7cow6 ,\u_logic/_al_u3481_o }),
.sr(RSTn_pad),
.f({\u_logic/_al_u2966_o ,\u_logic/Dt4iu6 }),
.q({open_n122212,\u_logic/Isjpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17262)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
//.LUT1("~(D*~(~C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011001100010011),
.INIT_LUT1(16'b0000110011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2967|RAMDATA_Interface/reg0_b4 (
.a({open_n122213,\u_logic/HMASTER }),
.b({\u_logic/n5754 ,\u_logic/_al_u2549_o }),
.c({\u_logic/_al_u2863_o ,\u_logic/_al_u2756_o }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/_al_u2966_o ,\u_logic/Vn9bx6 }),
.sr(cpuresetn),
.f({HTRANS[1],HADDR[6]}),
.q({open_n122229,RAMDATA_WADDR[4]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/topmodule/cortexm0ds_logic.v(17188)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2973|u_logic/M8ipw6_reg (
.b({open_n122232,\u_logic/K7xiu6_lutinv }),
.c({\u_logic/J0gax6 ,\u_logic/_al_u2973_o }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Sq4iu6 ,\u_logic/D7xiu6_lutinv }),
.mi({open_n122243,\u_logic/W6ipw6 }),
.f({\u_logic/_al_u2973_o ,\u_logic/Wo1iu6 }),
.q({open_n122248,\u_logic/M8ipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17188)
// ../rtl/topmodule/cortexm0ds_logic.v(17938)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(D*C*~B*A)"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(D*C*~B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0010000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2974|u_logic/Gc1qw6_reg (
.a({\u_logic/D7xiu6_lutinv ,\u_logic/Bo1iu6 }),
.b({\u_logic/_al_u2973_o ,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/_al_u2772_o ,\u_logic/Nckbx6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Nrqpw6 ,\u_logic/Nd3qw6 }),
.mi({open_n122252,\u_logic/Qa1qw6 }),
.f({\u_logic/_al_u2974_o ,\u_logic/_al_u3193_o }),
.q({open_n122268,\u_logic/Gc1qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17938)
// ../rtl/topmodule/cortexm0ds_logic.v(17980)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*B)*~(C)*~(A)+~(D*B)*C*~(A)+~(~(D*B))*C*A+~(D*B)*C*A)"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(~(D*B)*~(C)*~(A)+~(D*B)*C*~(A)+~(~(D*B))*C*A+~(D*B)*C*A)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100111000001010),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0100111000001010),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2975|u_logic/Dg2qw6_reg (
.a({open_n122269,\u_logic/Nr4iu6_lutinv }),
.b({open_n122270,\u_logic/Ur4iu6 }),
.c({\u_logic/_al_u131_o ,\u_logic/Sq4iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u2974_o ,\u_logic/Dg2qw6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u2975_o ,open_n122288}),
.q({open_n122292,\u_logic/Dg2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17980)
// ../rtl/topmodule/cortexm0ds_logic.v(18148)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~D*~(C*~B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000011001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2976|u_logic/Vz8ax6_reg (
.b({\u_logic/D7xiu6_lutinv ,open_n122295}),
.c({\u_logic/Uunpw6 ,\u_logic/Bk7ax6 }),
.ce(\u_logic/Bs4iu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u2975_o ,\u_logic/Iqzhu6_lutinv }),
.sr(RSTn_pad),
.f({\u_logic/_al_u2976_o ,\u_logic/Ud4iu6 }),
.q({open_n122311,\u_logic/Vz8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18148)
// ../rtl/topmodule/cortexm0ds_logic.v(18027)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2979|u_logic/Tb3qw6_reg (
.b({\u_logic/Gr2qw6 ,open_n122314}),
.c({\u_logic/Isjpw6 ,\u_logic/Dg2qw6 }),
.ce(\u_logic/M24iu6 ),
.clk(clk_pad),
.d({\u_logic/Iv1iu6 ,\u_logic/Fgpiu6 }),
.mi({open_n122318,\u_logic/H34iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u2979_o ,\u_logic/Scbiu6 }),
.q({open_n122333,\u_logic/Tb3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18027)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*D*C*B))"),
//.LUT1("(~A*~(1*D*C*B))"),
.INIT_LUT0(16'b0101010101010101),
.INIT_LUT1(16'b0001010101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2980 (
.a({\u_logic/_al_u2979_o ,\u_logic/_al_u2979_o }),
.b({\u_logic/K0xiu6 ,\u_logic/K0xiu6 }),
.c({\u_logic/Q4wiu6_lutinv ,\u_logic/Q4wiu6_lutinv }),
.d({\u_logic/D43qw6 ,\u_logic/D43qw6 }),
.mi({open_n122346,\u_logic/Pe7ax6 }),
.fx({open_n122351,\u_logic/Uvsiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19764)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(D*~C))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~B*~A*~(D*~C))"),
//.LUTG1("(D*~(C*B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000000010001),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2981|u_logic/Xx6bx6_reg (
.a({open_n122354,\u_logic/_al_u3056_o }),
.b({\u_logic/Ymwiu6 ,\u_logic/_al_u3127_o }),
.c({\u_logic/K0xiu6 ,\u_logic/D7xiu6_lutinv }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Uvsiu6 ,\u_logic/Cydbx6 }),
.mi({open_n122358,\u_logic/Gw6bx6 }),
.f({\u_logic/_al_u2981_o ,\u_logic/_al_u3128_o }),
.q({open_n122374,\u_logic/Xx6bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19764)
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*C)*~(D*B))"),
//.LUT1("(A*~(1*C)*~(D*B))"),
.INIT_LUT0(16'b0010001010101010),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2985 (
.a({\u_logic/_al_u2984_o ,\u_logic/_al_u2984_o }),
.b({\u_logic/Hqgiu6 ,\u_logic/Hqgiu6 }),
.c({\u_logic/_al_u1942_o ,\u_logic/_al_u1942_o }),
.d({\u_logic/Elnpw6 ,\u_logic/Elnpw6 }),
.mi({open_n122387,\u_logic/Pdyax6 }),
.fx({open_n122392,\u_logic/_al_u2985_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2989|u_logic/_al_u4291 (
.a({\u_logic/C0fiu6 ,\u_logic/C0fiu6 }),
.b({\u_logic/U2fiu6 ,\u_logic/U2fiu6 }),
.c({\u_logic/Cy4bx6 ,\u_logic/Mb4bx6 }),
.d({\u_logic/Sh4bx6 ,\u_logic/Wr4bx6 }),
.f({\u_logic/_al_u2989_o ,\u_logic/_al_u4291_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2990|u_logic/_al_u2988 (
.a({\u_logic/_al_u2985_o ,\u_logic/S1fiu6 }),
.b({\u_logic/_al_u2987_o ,\u_logic/_al_u139_o }),
.c({\u_logic/_al_u2988_o ,\u_logic/Rezax6 }),
.d({\u_logic/_al_u2989_o ,\u_logic/Uj4bx6 }),
.f({\u_logic/_al_u2990_o ,\u_logic/_al_u2988_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u2991|u_logic/_al_u85 (
.a({\u_logic/Q0fiu6 ,open_n122443}),
.b({\u_logic/E1fiu6 ,open_n122444}),
.c({\u_logic/G54bx6 ,\u_logic/C1wpw6 }),
.d({\u_logic/Wpyax6 ,\u_logic/Q0fiu6 }),
.f({\u_logic/_al_u2991_o ,\u_logic/n1111 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19560)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2992|u_logic/Wu3bx6_reg (
.a({open_n122465,\u_logic/_al_u3007_o }),
.b({open_n122466,\u_logic/_al_u3010_o }),
.c({\u_logic/Pjyiu6 ,\u_logic/_al_u3012_o }),
.ce(\u_logic/n1111 ),
.clk(clk_pad),
.d({\u_logic/Xznow6 ,\u_logic/_al_u3013_o }),
.mi({open_n122477,HWDATA[7]}),
.sr(cpuresetn),
.f({\u_logic/Tzdiu6 ,\u_logic/Bewiu6 }),
.q({open_n122481,\u_logic/Wu3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19560)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2997 (
.a({\u_logic/_al_u2996_o ,\u_logic/_al_u2996_o }),
.b({\u_logic/Dw1iu6 ,\u_logic/Dw1iu6 }),
.c({\u_logic/Vr1iu6 ,\u_logic/Vr1iu6 }),
.d({\u_logic/Bcgax6 ,\u_logic/Bcgax6 }),
.mi({open_n122494,\u_logic/H8gax6 }),
.fx({open_n122499,\u_logic/_al_u2997_o }));
EG_PHY_LSLICE #(
//.LUTF0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0010111000111111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0010111000111111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2998|u_logic/_al_u1019 (
.a({open_n122502,\u_logic/_al_u633_o }),
.b({\u_logic/Wjyiu6 ,\u_logic/_al_u657_o }),
.c({\u_logic/Rzciu6_lutinv ,\u_logic/Nfqpw6 }),
.d({\u_logic/Ur4iu6 ,\u_logic/S2cbx6 }),
.f({\u_logic/St1iu6 ,\u_logic/G82iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(~C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(~C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0011000111110101),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0011000111110101),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2999|u_logic/_al_u4505 (
.a({\u_logic/_al_u2995_o ,\u_logic/_al_u4450_o }),
.b({\u_logic/_al_u2997_o ,\u_logic/Q2eow6 }),
.c({\u_logic/St1iu6 ,\u_logic/Bisiu6 }),
.d({HRDATA[31],HRDATA[10]}),
.f({\u_logic/_al_u2999_o ,\u_logic/_al_u4505_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18358)
EG_PHY_LSLICE #(
//.LUTF0("~(C*A*~(D*B))"),
//.LUTF1("~(~C*~D)"),
//.LUTG0("~(C*A*~(D*B))"),
//.LUTG1("~(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101111101011111),
.INIT_LUTF1(16'b1111111111110000),
.INIT_LUTG0(16'b1101111101011111),
.INIT_LUTG1(16'b1111111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2|u_logic/Zgfax6_reg (
.a({open_n122551,\u_logic/W83iu6 }),
.b({open_n122552,\u_logic/Cl1iu6 }),
.c({\u_logic/Utqpw6 ,\u_logic/_al_u1048_o }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Qwfax6 ,\u_logic/Gylpw6 }),
.f({\u_logic/n276 ,open_n122571}),
.q({open_n122575,\u_logic/Zgfax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18358)
// ../rtl/topmodule/cortexm0ds_logic.v(18225)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3001|u_logic/Zgbax6_reg (
.a({\u_logic/D7xiu6_lutinv ,open_n122576}),
.b({\u_logic/_al_u2973_o ,open_n122577}),
.c({\u_logic/_al_u131_o ,\u_logic/L03qw6 }),
.ce(\u_logic/n529 ),
.clk(clk_pad),
.d({\u_logic/_al_u129_o ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/Bo1iu6 ,\u_logic/Df4iu6 }),
.q({open_n122594,\u_logic/Zgbax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18225)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3005|u_logic/_al_u3006 (
.a({\u_logic/G2fiu6 ,\u_logic/Q0fiu6 }),
.b({\u_logic/C0fiu6 ,\u_logic/I3fiu6 }),
.c({\u_logic/Aw4bx6 ,\u_logic/Cwyax6 }),
.d({\u_logic/M85bx6 ,\u_logic/E34bx6 }),
.f({\u_logic/_al_u3005_o ,\u_logic/_al_u3006_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u3009|u_logic/_al_u3180 (
.a({open_n122619,\u_logic/Rzciu6_lutinv }),
.b({\u_logic/Xznow6 ,\u_logic/R9yax6 }),
.c({\u_logic/K5eiu6 ,\u_logic/W5ypw6 }),
.d({\u_logic/_al_u3008_o ,\u_logic/Ztupw6 }),
.f({\u_logic/_al_u3009_o ,\u_logic/_al_u3180_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3010|u_logic/_al_u1914 (
.c({\u_logic/_al_u2282_o ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/_al_u3009_o ,\u_logic/vis_ipsr_o[0] }),
.f({\u_logic/_al_u3010_o ,\u_logic/_al_u1914_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19650)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3012|u_logic/Up4bx6_reg (
.a({open_n122664,\u_logic/_al_u3209_o }),
.b({\u_logic/E1fiu6 ,\u_logic/_al_u3210_o }),
.c({\u_logic/Unyax6 ,\u_logic/C0fiu6 }),
.ce(\u_logic/n1110 ),
.clk(clk_pad),
.d({\u_logic/_al_u3011_o ,\u_logic/Sn4bx6 }),
.mi({open_n122675,HWDATA[14]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3012_o ,\u_logic/Pxriu6 }),
.q({open_n122679,\u_logic/Up4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19650)
// ../rtl/peripherals/FM_Display.v(31)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110001010000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1100110001010000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3015|FM_Display/reg9_b1 (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/_al_u1202_o }),
.b({\u_logic/Ar1iu6 ,\u_logic/O34iu6 }),
.c({\u_logic/F6dbx6 ,\u_logic/I8lax6 }),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\u_logic/J59ax6 ,\u_logic/Wvgax6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3015_o ,HWDATA[1]}),
.q({open_n122699,\FM_Display/channel_NO [1]})); // ../rtl/peripherals/FM_Display.v(31)
// ../rtl/topmodule/cortexm0ds_logic.v(18051)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*B*~(D*A))"),
//.LUTG0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*B*~(D*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100001111),
.INIT_LUTF1(16'b0100000011000000),
.INIT_LUTG0(16'b0011001100001111),
.INIT_LUTG1(16'b0100000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3017|u_logic/Sz3qw6_reg (
.a({\u_logic/St1iu6 ,open_n122700}),
.b({\u_logic/_al_u3015_o ,\u_logic/_al_u2361_o }),
.c({\u_logic/Hawiu6 ,\u_logic/_al_u2363_o }),
.ce(\u_logic/n3472 ),
.clk(clk_pad),
.d({HRDATA[30],\u_logic/_al_u2359_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u3017_o ,\u_logic/I7cow6 }),
.q({open_n122720,\u_logic/Sz3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18051)
// ../rtl/topmodule/cortexm0ds_logic.v(18399)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(0*C)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~B*~(1*C)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000100110011),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000100000011),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3018|u_logic/Q2gax6_reg (
.a({\u_logic/Zt1iu6 ,\u_logic/St1iu6 }),
.b({\u_logic/Iv1iu6 ,\u_logic/_al_u2979_o }),
.c({\u_logic/vis_pc_o[29] ,\u_logic/Ar1iu6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Z2aax6 ,HRDATA[8]}),
.e({open_n122721,\u_logic/N61qw6 }),
.mi({open_n122723,\u_logic/Lm1iu6 }),
.f({\u_logic/_al_u3018_o ,\u_logic/_al_u3184_o }),
.q({open_n122739,\u_logic/Q2gax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18399)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u3019 (
.a({open_n122740,\u_logic/Dw1iu6 }),
.b({open_n122741,\u_logic/Xs1iu6 }),
.c({open_n122742,\u_logic/Peeax6 }),
.d({open_n122745,\u_logic/Widax6 }),
.f({open_n122759,\u_logic/_al_u3019_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C)*~(0*B))"),
//.LUT1("(~A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000010101010101),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3023 (
.a({\u_logic/_al_u2282_o ,\u_logic/_al_u2282_o }),
.b({\u_logic/F0eow6 ,\u_logic/F0eow6 }),
.c({\u_logic/M0eow6 ,\u_logic/M0eow6 }),
.d({\u_logic/Bc3bx6 ,\u_logic/Bc3bx6 }),
.mi({open_n122777,\u_logic/Kojpw6 }),
.fx({open_n122782,\u_logic/U6wiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18340)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3028|u_logic/Eafax6_reg (
.a({\u_logic/Yw1iu6 ,\u_logic/_al_u3520_o }),
.b({\u_logic/_al_u3027_o ,\u_logic/Ws4iu6_lutinv }),
.c({\u_logic/St1iu6 ,\u_logic/Iv1iu6 }),
.ce(\u_logic/n524 ),
.clk(clk_pad),
.d({HRDATA[29],\u_logic/vis_pc_o[0] }),
.e({open_n122785,\u_logic/R19ax6 }),
.mi({open_n122787,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3028_o ,\u_logic/_al_u3521_o }),
.q({open_n122802,\u_logic/Eafax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18340)
// ../rtl/topmodule/cortexm0ds_logic.v(18480)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C*~D))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("~(B*~(C*~D))"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001111110011),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0011001111110011),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u302|u_logic/Cchax6_reg (
.b({open_n122805,\u_logic/_al_u4678_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/Zgziu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/A70iu6 ,\u_logic/_al_u4522_o }),
.sr(cpuresetn),
.f({\u_logic/Mifpw6 [27],open_n122823}),
.q({open_n122827,\u_logic/vis_pc_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18480)
// ../rtl/topmodule/cortexm0ds_logic.v(18400)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3031|u_logic/N4gax6_reg (
.a({\u_logic/Zt1iu6 ,\u_logic/Kw1iu6_lutinv }),
.b({\u_logic/Ar1iu6 ,\u_logic/Ar1iu6 }),
.c({\u_logic/Ibqpw6 ,\u_logic/Sx3qw6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Khgax6 ,\u_logic/Wahbx6 }),
.mi({open_n122831,\u_logic/Lm1iu6 }),
.f({\u_logic/_al_u3031_o ,\u_logic/_al_u3026_o }),
.q({open_n122847,\u_logic/N4gax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18400)
// ../rtl/topmodule/cortexm0ds_logic.v(18317)
EG_PHY_LSLICE #(
//.LUTF0("(~D*B*(A*~(0)*~(C)+A*0*~(C)+~(A)*0*C+A*0*C))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~D*B*(A*~(1)*~(C)+A*1*~(C)+~(A)*1*C+A*1*C))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000011001000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3032|u_logic/Aoeax6_reg (
.a({\u_logic/Iv1iu6 ,\u_logic/Hz0iu6 }),
.b({\u_logic/Vr1iu6 ,\u_logic/Ntkbx6 [3]}),
.c({\u_logic/vis_pc_o[27] ,\u_logic/_al_u3380_o }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Yogax6 ,\u_logic/Hdbax6 }),
.e({open_n122848,\u_logic/vis_pc_o[0] }),
.mi({open_n122850,\u_logic/Z54iu6 }),
.f({\u_logic/_al_u3032_o ,\u_logic/Oxhhu6 }),
.q({open_n122866,\u_logic/Aoeax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18317)
// ../rtl/topmodule/cortexm0ds_logic.v(18403)
EG_PHY_MSLICE #(
//.LUT0("(A@(D*~(~C*~B)))"),
//.LUT1("(C*B*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101011010101010),
.INIT_LUT1(16'b0100000011000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3033|u_logic/Eagax6_reg (
.a({\u_logic/St1iu6 ,\u_logic/Chkhu6 }),
.b({\u_logic/_al_u3031_o ,\u_logic/_al_u1150_o }),
.c({\u_logic/_al_u3032_o ,\u_logic/_al_u3288_o }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({HRDATA[28],\u_logic/Hz9ax6 }),
.mi({open_n122877,\u_logic/Lm1iu6 }),
.f({\u_logic/_al_u3033_o ,\u_logic/eq1/xor_i0[1]_i1[1]_o_lutinv }),
.q({open_n122882,\u_logic/Eagax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18403)
// ../rtl/topmodule/cortexm0ds_logic.v(17304)
EG_PHY_LSLICE #(
//.LUTF0("(A*C*~((~D*B))*~(0)+A*~(C)*(~D*B)*~(0)+A*C*(~D*B)*~(0)+~(A)*~(C)*~((~D*B))*0+A*~(C)*~((~D*B))*0+~(A)*C*~((~D*B))*0+A*C*~((~D*B))*0+A*~(C)*(~D*B)*0+A*C*(~D*B)*0)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*C*~((~D*B))*~(1)+A*~(C)*(~D*B)*~(1)+A*C*(~D*B)*~(1)+~(A)*~(C)*~((~D*B))*1+A*~(C)*~((~D*B))*1+~(A)*C*~((~D*B))*1+A*C*~((~D*B))*1+A*~(C)*(~D*B)*1+A*C*(~D*B)*1)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000010101000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1111111110111011),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3038|u_logic/Vpkpw6_reg (
.a({\u_logic/Yw1iu6 ,\u_logic/_al_u3465_o }),
.b({\u_logic/_al_u3033_o ,\u_logic/Ws4iu6_lutinv }),
.c({\u_logic/_al_u3035_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/I0wiu6 ,\u_logic/Dg2qw6 }),
.e({open_n122884,\u_logic/Vpkpw6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3038_o ,open_n122899}),
.q({open_n122903,\u_logic/Vpkpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17304)
// ../rtl/topmodule/cortexm0ds_logic.v(18949)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u303|u_logic/Lzwax6_reg (
.a({\u_logic/Panow6_lutinv ,\u_logic/Mnqow6 }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r3_o[28] ,\u_logic/vis_r4_o[30] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[28] ,\u_logic/vis_r7_o[30] }),
.mi({open_n122914,\u_logic/X6niu6 }),
.f({\u_logic/_al_u303_o ,\u_logic/_al_u592_o }),
.q({open_n122919,\u_logic/vis_r7_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(18949)
// ../rtl/topmodule/cortexm0ds_logic.v(18186)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(0*C)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~B*~(1*C)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000100110011),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000100000011),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3042|u_logic/Biaax6_reg (
.a({\u_logic/Xs1iu6 ,\u_logic/St1iu6 }),
.b({\u_logic/Vr1iu6 ,\u_logic/_al_u2979_o }),
.c({\u_logic/T2dbx6 ,\u_logic/Zt1iu6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/W0dbx6 ,HRDATA[11]}),
.e({open_n122920,\u_logic/Ux8bx6 }),
.mi({open_n122922,\u_logic/X44iu6 }),
.f({\u_logic/_al_u3042_o ,\u_logic/_al_u3154_o }),
.q({open_n122938,\u_logic/Biaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18186)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C)*~(0*B))"),
//.LUT1("(~A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000010101010101),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3044 (
.a({\u_logic/_al_u2282_o ,\u_logic/_al_u2282_o }),
.b({\u_logic/F0eow6 ,\u_logic/F0eow6 }),
.c({\u_logic/M0eow6 ,\u_logic/M0eow6 }),
.d({\u_logic/P33bx6 ,\u_logic/P33bx6 }),
.mi({open_n122951,\u_logic/Qx0bx6 }),
.fx({open_n122956,\u_logic/Wtviu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(20154)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*~(C*B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3046|u_logic/Q2ibx6_reg (
.a({open_n122959,\u_logic/_al_u3199_o }),
.b({\u_logic/Yw1iu6 ,\u_logic/F0riu6 }),
.c({\u_logic/_al_u3045_o ,\u_logic/_al_u3202_o }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Wo1iu6 ,\u_logic/Tsriu6 }),
.mi({open_n122970,\u_logic/K84iu6 }),
.f({\u_logic/_al_u3046_o ,\u_logic/_al_u3204_o }),
.q({open_n122975,\u_logic/Q2ibx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20154)
// ../rtl/topmodule/cortexm0ds_logic.v(18171)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3048|u_logic/Bq9ax6_reg (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/Kw1iu6_lutinv }),
.b({\u_logic/Xs1iu6 ,\u_logic/Dw1iu6 }),
.c({\u_logic/Facbx6 ,\u_logic/C1fax6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Thcbx6 ,\u_logic/Xr9ax6 }),
.mi({open_n122986,\u_logic/Gk4iu6 }),
.f({\u_logic/_al_u3048_o ,\u_logic/T7riu6 }),
.q({open_n122991,\u_logic/Bq9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18171)
// ../rtl/topmodule/cortexm0ds_logic.v(17946)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u304|u_logic/Ir1qw6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/N9now6_lutinv }),
.b({\u_logic/V6now6_lutinv ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r2_o[28] ,\u_logic/vis_r1_o[8] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[28] ,\u_logic/vis_r4_o[8] }),
.mi({open_n123002,\u_logic/K3niu6 }),
.f({\u_logic/F15pw6 ,\u_logic/_al_u363_o }),
.q({open_n123007,\u_logic/vis_r1_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(17946)
EG_PHY_MSLICE #(
//.LUT0("(D*B*A*~(0*C))"),
//.LUT1("(D*B*A*~(1*C))"),
.INIT_LUT0(16'b1000100000000000),
.INIT_LUT1(16'b0000100000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3054 (
.a({\u_logic/_al_u3049_o ,\u_logic/_al_u3049_o }),
.b({\u_logic/_al_u3051_o ,\u_logic/_al_u3051_o }),
.c({\u_logic/St1iu6 ,\u_logic/St1iu6 }),
.d({\u_logic/Wmviu6 ,\u_logic/Wmviu6 }),
.mi({open_n123020,HRDATA[26]}),
.fx({open_n123025,\u_logic/_al_u3054_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20097)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3055|u_logic/Zvgbx6_reg (
.b({\u_logic/Yw1iu6 ,open_n123030}),
.c({\u_logic/_al_u3054_o ,\u_logic/Urgbx6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Wo1iu6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u3055_o ,\u_logic/W74iu6 }),
.q({open_n123047,\u_logic/Zvgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20097)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0011001100001111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3056|u_logic/_al_u2774 (
.b({open_n123050,\u_logic/Nrqpw6 }),
.c({\u_logic/_al_u131_o ,\u_logic/P23qw6 }),
.d({\u_logic/_al_u2974_o ,\u_logic/Wqzhu6 }),
.f({\u_logic/_al_u3056_o ,\u_logic/Am6iu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17949)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u305|u_logic/Fx1qw6_reg (
.a({\u_logic/Wanow6_lutinv ,\u_logic/V6now6_lutinv }),
.b({\u_logic/U9now6_lutinv ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r0_o[28] ,\u_logic/vis_r5_o[8] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[28] ,\u_logic/vis_r2_o[8] }),
.mi({open_n123074,\u_logic/K3niu6 }),
.f({\u_logic/_al_u305_o ,\u_logic/_al_u364_o }),
.q({open_n123090,\u_logic/vis_r5_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(17949)
// ../rtl/topmodule/cortexm0ds_logic.v(18407)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3064|u_logic/Khgax6_reg (
.a({\u_logic/Dw1iu6 ,\u_logic/Ok8iu6 }),
.b({\u_logic/Ar1iu6 ,\u_logic/_al_u2473_o }),
.c({\u_logic/Mgeax6 ,\u_logic/D84iu6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Nwbbx6 ,\u_logic/vis_pc_o[23] }),
.mi({open_n123094,\u_logic/F94iu6 }),
.f({\u_logic/_al_u3064_o ,\u_logic/_al_u2580_o }),
.q({open_n123110,\u_logic/Khgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18407)
// ../rtl/topmodule/cortexm0ds_logic.v(18177)
EG_PHY_MSLICE #(
//.LUT0("~(A@(D*~(~C*~B)))"),
//.LUT1("(D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010100101010101),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3065|u_logic/D1aax6_reg (
.a({open_n123111,\u_logic/Oxhhu6 }),
.b({\u_logic/Iv1iu6 ,\u_logic/_al_u1150_o }),
.c({\u_logic/vis_pc_o[24] ,\u_logic/_al_u3380_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/_al_u3064_o ,\u_logic/Xwaax6 }),
.mi({open_n123122,\u_logic/T24iu6 }),
.f({\u_logic/_al_u3065_o ,\u_logic/_al_u3462_o }),
.q({open_n123127,\u_logic/D1aax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18177)
// ../rtl/topmodule/cortexm0ds_logic.v(19811)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~D*~C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3067|u_logic/Q89bx6_reg (
.a({\u_logic/D7xiu6_lutinv ,open_n123128}),
.b({\u_logic/_al_u2973_o ,open_n123129}),
.c({\u_logic/_al_u131_o ,\u_logic/J0gax6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u129_o ,\u_logic/_al_u2772_o }),
.mi({open_n123133,\u_logic/Zgfax6 }),
.f({\u_logic/Qwpiu6_lutinv ,\u_logic/Wqzhu6 }),
.q({open_n123149,\u_logic/Q89bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19811)
// ../rtl/topmodule/cortexm0ds_logic.v(18251)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*~A*~(D*B))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*~A*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000100000101),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3068|u_logic/V0cax6_reg (
.a({\u_logic/_al_u3066_o ,open_n123150}),
.b({\u_logic/Bo1iu6 ,open_n123151}),
.c({\u_logic/Qwpiu6_lutinv ,\u_logic/Ymwpw6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Q2ibx6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u3068_o ,\u_logic/Z54iu6 }),
.q({open_n123172,\u_logic/V0cax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18251)
// ../rtl/topmodule/cortexm0ds_logic.v(17950)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u306|u_logic/Ez1qw6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/C7now6_lutinv }),
.c({\u_logic/vis_r1_o[28] ,\u_logic/vis_r6_o[8] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[28] ,\u_logic/vis_r7_o[8] }),
.mi({open_n123183,\u_logic/K3niu6 }),
.f({\u_logic/_al_u306_o ,\u_logic/_al_u365_o }),
.q({open_n123188,\u_logic/vis_r7_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(17950)
// ../rtl/topmodule/cortexm0ds_logic.v(18045)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*D*C*B))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(A*~(1*D*C*B))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3071|u_logic/Cq3qw6_reg (
.a({\u_logic/_al_u3070_o ,\u_logic/Wo1iu6 }),
.b({\u_logic/Yc7iu6 ,\u_logic/Yw1iu6 }),
.c({\u_logic/Ws4iu6_lutinv ,\u_logic/_al_u3061_o }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Sbfax6 ,\u_logic/_al_u3063_o }),
.e({\u_logic/Vpkpw6 ,\u_logic/_al_u3065_o }),
.mi({open_n123190,\u_logic/M94iu6 }),
.f({\u_logic/_al_u3071_o ,\u_logic/_al_u3066_o }),
.q({open_n123206,\u_logic/Cq3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18045)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3072 (
.a({\u_logic/_al_u3009_o ,\u_logic/_al_u3009_o }),
.b({\u_logic/F0eow6 ,\u_logic/F0eow6 }),
.c({\u_logic/M0eow6 ,\u_logic/M0eow6 }),
.d({\u_logic/Dv2bx6 ,\u_logic/Dv2bx6 }),
.mi({open_n123219,\u_logic/Oxkpw6 }),
.fx({open_n123224,\u_logic/P8viu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18001)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~D*C*A))"),
//.LUT1("(C*A*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001100010011),
.INIT_LUT1(16'b0010000010100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3073|u_logic/Gr2qw6_reg (
.a({\u_logic/_al_u3071_o ,\u_logic/E18iu6 }),
.b({\u_logic/St1iu6 ,\u_logic/Nr4iu6_lutinv }),
.c({\u_logic/P8viu6 ,\u_logic/_al_u2965_o }),
.ce(\u_logic/Tu4iu6 ),
.clk(clk_pad),
.d({HRDATA[24],\u_logic/n1481 }),
.mi({open_n123237,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3073_o ,\u_logic/_al_u2968_o }),
.q({open_n123241,\u_logic/Gr2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18001)
// ../rtl/topmodule/cortexm0ds_logic.v(18295)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3074|u_logic/Hsdax6_reg (
.a({\u_logic/Dw1iu6 ,\u_logic/_al_u3194_o }),
.b({\u_logic/Zt1iu6 ,\u_logic/Cs1iu6 }),
.c({\u_logic/Jieax6 ,\u_logic/Vr1iu6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/T6aax6 ,\u_logic/Bccax6 }),
.e({open_n123242,\u_logic/U7dax6 }),
.mi({open_n123244,\u_logic/Z54iu6 }),
.f({\u_logic/_al_u3074_o ,\u_logic/_al_u3195_o }),
.q({open_n123260,\u_logic/Hsdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18295)
// ../rtl/topmodule/cortexm0ds_logic.v(20254)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3075|u_logic/Tikbx6_reg (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/Dw1iu6 }),
.b({\u_logic/Vr1iu6 ,\u_logic/Vr1iu6 }),
.c({\u_logic/D99ax6 ,\u_logic/B9jbx6 }),
.ce(\u_logic/n529 ),
.clk(clk_pad),
.d({\u_logic/Xqcax6 ,\u_logic/Tcjbx6 }),
.mi({open_n123271,\u_logic/T24iu6 }),
.f({\u_logic/_al_u3075_o ,\u_logic/_al_u3174_o }),
.q({open_n123276,\u_logic/Tikbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20254)
// ../rtl/topmodule/cortexm0ds_logic.v(18312)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3076|u_logic/Peeax6_reg (
.a({\u_logic/Iv1iu6 ,\u_logic/Cs1iu6 }),
.b({\u_logic/_al_u410_o ,\u_logic/Ar1iu6 }),
.c({\u_logic/vis_pc_o[23] ,\u_logic/Evbax6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Uh2qw6 ,\u_logic/Tgkbx6 }),
.mi({open_n123280,\u_logic/T94iu6 }),
.f({\u_logic/_al_u3076_o ,\u_logic/_al_u3070_o }),
.q({open_n123296,\u_logic/Peeax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18312)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*(~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*~B*(~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000000000010000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0011000000010000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3082|u_logic/_al_u3340 (
.a({\u_logic/Zt1iu6 ,\u_logic/_al_u2623_o }),
.b({\u_logic/Iv1iu6 ,\u_logic/_al_u3339_o }),
.c({\u_logic/vis_pc_o[21] ,\u_logic/_al_u3292_o }),
.d({\u_logic/Qlfbx6 ,\u_logic/_al_u3288_o }),
.e({open_n123299,\u_logic/vis_pc_o[8] }),
.f({\u_logic/_al_u3082_o ,\u_logic/Orkhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*~B*A)"),
//.LUT1("(~1*~D*~C*~B*A)"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3088 (
.a({\u_logic/_al_u3087_o ,\u_logic/_al_u3087_o }),
.b({\u_logic/Fc1bx6 ,\u_logic/Fc1bx6 }),
.c({\u_logic/Fe2bx6 ,\u_logic/Fe2bx6 }),
.d({\u_logic/Gihbx6 ,\u_logic/Gihbx6 }),
.mi({open_n123332,\u_logic/N5bbx6 }),
.fx({open_n123337,\u_logic/_al_u3088_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19446)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3089|u_logic/Xq2bx6_reg (
.a({\u_logic/Rk1bx6 ,\u_logic/_al_u1937_o }),
.b({\u_logic/Rm2bx6 ,\u_logic/_al_u1066_o }),
.c({\u_logic/Tcipw6 ,\u_logic/_al_u1931_o }),
.clk(clk_pad),
.d({\u_logic/U31bx6 ,\u_logic/_al_u1067_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u3089_o ,\u_logic/Szohu6 }),
.q({open_n123356,\u_logic/Xq2bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19446)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3091|u_logic/_al_u4348 (
.a({\u_logic/Jx1bx6 ,\u_logic/_al_u4347_o }),
.b({\u_logic/Jz2bx6 ,\u_logic/Yvgiu6 }),
.c({\u_logic/Lg1bx6 ,\u_logic/M6eiu6 }),
.d({\u_logic/Li2bx6 ,\u_logic/R7kpw6 }),
.e({open_n123359,\u_logic/T9kpw6 }),
.f({\u_logic/_al_u3091_o ,\u_logic/_al_u4348_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3093|u_logic/_al_u734 (
.a({\u_logic/_al_u3088_o ,open_n123380}),
.b({\u_logic/_al_u3090_o ,\u_logic/Ozeiu6 }),
.c({\u_logic/_al_u3091_o ,\u_logic/N8rpw6 }),
.d({\u_logic/_al_u3092_o ,\u_logic/Azeiu6 }),
.f({\u_logic/_al_u3093_o ,\u_logic/_al_u734_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
//.LUT1("(~D*~C*~B*~A)"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"))
\u_logic/_al_u3094|u_logic/_al_u3092 (
.a({\u_logic/Us3bx6 ,\u_logic/Hg3bx6 }),
.b({\u_logic/V52bx6 ,\u_logic/Lr9bx6 }),
.c({\u_logic/V73bx6 ,\u_logic/Mk3bx6 }),
.d({\u_logic/Xo1bx6 ,\u_logic/Muhbx6 }),
.f({\u_logic/_al_u3094_o ,\u_logic/_al_u3092_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUT1("(C*~(~D*B*A))"),
.INIT_LUT0(16'b0000100001011111),
.INIT_LUT1(16'b1111000001110000),
.MODE("LOGIC"))
\u_logic/_al_u3096|u_logic/_al_u1937 (
.a({\u_logic/_al_u3093_o ,HWDATA[23]}),
.b({\u_logic/_al_u3095_o ,\u_logic/K66iu6 }),
.c({\u_logic/_al_u1942_o ,\u_logic/Kwfiu6 }),
.d({\u_logic/Rijbx6 ,\u_logic/Xq2bx6 }),
.f({\u_logic/_al_u3096_o ,\u_logic/_al_u1937_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20060)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3100|u_logic/Lfgbx6_reg (
.a({\u_logic/S1fiu6 ,\u_logic/Tzdiu6 }),
.b({\u_logic/I3fiu6 ,\u_logic/I3fiu6 }),
.c({\u_logic/Nhgbx6 ,\u_logic/Vbspw6 }),
.ce(\u_logic/n1112 ),
.clk(clk_pad),
.d({\u_logic/Tngbx6 ,\u_logic/Xozax6 }),
.mi({open_n123451,HWDATA[22]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3100_o ,\u_logic/_al_u4321_o }),
.q({open_n123455,\u_logic/Lfgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20060)
// ../rtl/topmodule/cortexm0ds_logic.v(19858)
EG_PHY_LSLICE #(
//.LUTF0("(~(B)*~((~C*A))*~(D)+B*~((~C*A))*~(D)+B*(~C*A)*~(D)+B*~((~C*A))*D)"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(B)*~((~C*A))*~(D)+B*~((~C*A))*~(D)+B*(~C*A)*~(D)+B*~((~C*A))*D)"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010011111101),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b1100010011111101),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3101|u_logic/T3abx6_reg (
.a({\u_logic/_al_u3099_o ,\u_logic/Nv9bx6 }),
.b({\u_logic/_al_u3100_o ,\u_logic/Wmzax6 }),
.c({\u_logic/U2fiu6 ,\u_logic/Xozax6 }),
.ce(\u_logic/n1112 ),
.clk(clk_pad),
.d({\u_logic/Rlgbx6 ,\u_logic/Yqzax6 }),
.mi({open_n123459,HWDATA[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3101_o ,\u_logic/_al_u4201_o }),
.q({open_n123474,\u_logic/T3abx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19858)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3102|u_logic/_al_u3007 (
.a({\u_logic/C0fiu6 ,\u_logic/_al_u3004_o }),
.b({\u_logic/E1fiu6 ,\u_logic/_al_u3005_o }),
.c({\u_logic/Hbgbx6 ,\u_logic/_al_u3006_o }),
.d({\u_logic/Lfgbx6 ,\u_logic/U2fiu6 }),
.e({open_n123477,\u_logic/Qf4bx6 }),
.f({\u_logic/_al_u3102_o ,\u_logic/_al_u3007_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*~A)"),
//.LUTG0("(D*C*B*~A)"),
.INIT_LUTF0(16'b0100000000000000),
.INIT_LUTG0(16'b0100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3104 (
.a({open_n123498,\u_logic/_al_u3096_o }),
.b({open_n123499,\u_logic/_al_u3098_o }),
.c({open_n123500,\u_logic/_al_u3101_o }),
.d({open_n123503,\u_logic/_al_u3103_o }),
.f({open_n123521,\u_logic/Ntuiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~0*D*C*B*A)"),
//.LUT1("(~1*D*C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3108 (
.a({\u_logic/Q4wiu6_lutinv ,\u_logic/Q4wiu6_lutinv }),
.b({\u_logic/_al_u92_o ,\u_logic/_al_u92_o }),
.c({\u_logic/Hw8ax6 ,\u_logic/Hw8ax6 }),
.d({\u_logic/Sqwpw6 ,\u_logic/Sqwpw6 }),
.mi({open_n123539,\u_logic/Zm8ax6 }),
.fx({open_n123544,\u_logic/_al_u3108_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18306)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3109|u_logic/Xaeax6_reg (
.a({\u_logic/St1iu6 ,\u_logic/Dw1iu6 }),
.b({\u_logic/_al_u3108_o ,\u_logic/Xs1iu6 }),
.c({HRDATA[21],\u_logic/Bngax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/M4ebx6 ,\u_logic/Elgax6 }),
.mi({open_n123557,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3109_o ,\u_logic/_al_u3034_o }),
.q({open_n123561,\u_logic/Xaeax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18306)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u3110|u_logic/_al_u3125 (
.a({\u_logic/F0eow6 ,\u_logic/F0eow6 }),
.b({\u_logic/M0eow6 ,\u_logic/M0eow6 }),
.c({\u_logic/Li2bx6 ,\u_logic/Fe2bx6 }),
.d({\u_logic/Nr0bx6 ,\u_logic/Mp0bx6 }),
.f({\u_logic/Mdfow6 ,\u_logic/Mrfow6 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3111 (
.a({\u_logic/Mdfow6 ,\u_logic/Mdfow6 }),
.b({\u_logic/Yvgiu6 ,\u_logic/Yvgiu6 }),
.c({\u_logic/M6eiu6 ,\u_logic/M6eiu6 }),
.d({\u_logic/Rhkpw6 ,\u_logic/Rhkpw6 }),
.mi({open_n123594,\u_logic/Tjkpw6 }),
.fx({open_n123599,\u_logic/Umuiu6 }));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
//.LUT1("(D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011001100010011),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3117|RAMDATA_Interface/reg0_b9 (
.a({open_n123602,\u_logic/HMASTER }),
.b({\u_logic/Yw1iu6 ,\u_logic/_al_u2529_o }),
.c({\u_logic/_al_u3116_o ,\u_logic/_al_u2756_o }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/Wo1iu6 ,\u_logic/B79bx6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3117_o ,HADDR[11]}),
.q({open_n123618,RAMDATA_WADDR[9]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*A)"),
//.LUT1("(~B*~A*~(D*~C))"),
.INIT_LUT0(16'b0000000000100000),
.INIT_LUT1(16'b0001000000010001),
.MODE("LOGIC"))
\u_logic/_al_u3118|u_logic/_al_u94 (
.a({\u_logic/_al_u3056_o ,\u_logic/Avwiu6 }),
.b({\u_logic/_al_u3117_o ,\u_logic/Hw8ax6 }),
.c({\u_logic/D7xiu6_lutinv ,\u_logic/Sqwpw6 }),
.d({\u_logic/Jhebx6 ,\u_logic/Zm8ax6 }),
.f({\u_logic/_al_u3118_o ,\u_logic/Dw1iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18328)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~B)*~(D*A))"),
//.LUTF1("(C*B*~(D*A))"),
//.LUTG0("(~(~C*~B)*~(D*A))"),
//.LUTG1("(C*B*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010011111100),
.INIT_LUTF1(16'b0100000011000000),
.INIT_LUTG0(16'b0101010011111100),
.INIT_LUTG1(16'b0100000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3122|u_logic/Q6fax6_reg (
.a({\u_logic/St1iu6 ,\u_logic/_al_u2509_o }),
.b({\u_logic/Hcuiu6 ,\u_logic/_al_u2618_o }),
.c({\u_logic/_al_u3121_o ,\u_logic/F7eax6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({HRDATA[20],\u_logic/N3hbx6 }),
.mi({open_n123642,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3122_o ,\u_logic/_al_u3603_o }),
.q({open_n123657,\u_logic/Q6fax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18328)
// ../rtl/topmodule/cortexm0ds_logic.v(18275)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B)*(0@A))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(D*~(C*B)*(1@A))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101000000000),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0001010100000000),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3124|u_logic/I0dax6_reg (
.a({\u_logic/_al_u3123_o ,\u_logic/_al_u2497_o }),
.b({\u_logic/Dw1iu6 ,\u_logic/_al_u2485_o }),
.c({\u_logic/Zt1iu6 ,\u_logic/Hpbbx6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Cndbx6 ,\u_logic/Q6fax6 }),
.e({\u_logic/Wqdbx6 ,\u_logic/Wqdbx6 }),
.mi({open_n123659,\u_logic/E54iu6 }),
.f({\u_logic/_al_u3124_o ,\u_logic/_al_u3565_o }),
.q({open_n123675,\u_logic/I0dax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18275)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3126 (
.a({\u_logic/Mrfow6 ,\u_logic/Mrfow6 }),
.b({\u_logic/Yvgiu6 ,\u_logic/Yvgiu6 }),
.c({\u_logic/M6eiu6 ,\u_logic/M6eiu6 }),
.d({\u_logic/X6jpw6 ,\u_logic/X6jpw6 }),
.mi({open_n123688,\u_logic/Z8jpw6 }),
.fx({open_n123693,\u_logic/Bguiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19212)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3131|u_logic/Ih0bx6_reg (
.a({\u_logic/F0eow6 ,HWDATA[16]}),
.b({\u_logic/M0eow6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Ln0bx6 ,\u_logic/Ih0bx6 }),
.clk(clk_pad),
.d({\u_logic/V52bx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3131_o ,open_n123709}),
.q({open_n123713,\u_logic/Ih0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19212)
// ../rtl/topmodule/cortexm0ds_logic.v(19236)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3132|u_logic/Mp0bx6_reg (
.a({\u_logic/Yvgiu6 ,HWDATA[20]}),
.b({\u_logic/M6eiu6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Hhvpw6 ,\u_logic/Mp0bx6 }),
.clk(clk_pad),
.d({\u_logic/Nr7ax6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/E5jow6 ,open_n123727}),
.q({open_n123731,\u_logic/Mp0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19236)
// ../rtl/topmodule/cortexm0ds_logic.v(19032)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3133|u_logic/Yryax6_reg (
.b({\u_logic/_al_u3131_o ,open_n123734}),
.c({\u_logic/E5jow6 ,\u_logic/C1wpw6 }),
.ce(\u_logic/n1116 ),
.clk(clk_pad),
.d({\u_logic/_al_u3009_o ,HWDATA[15]}),
.sr(cpuresetn),
.f({\u_logic/U8uiu6 ,\u_logic/Fsdiu6 }),
.q({open_n123754,\u_logic/Yryax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19032)
// ../rtl/topmodule/cortexm0ds_logic.v(20185)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3135|u_logic/F7jbx6_reg (
.a({\u_logic/U8uiu6 ,\u_logic/Cs1iu6 }),
.b({\u_logic/_al_u3134_o ,\u_logic/Xs1iu6 }),
.c({\u_logic/Xs1iu6 ,\u_logic/Facax6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Nodax6 ,\u_logic/R1eax6 }),
.mi({open_n123765,\u_logic/Ym4iu6 }),
.f({\u_logic/_al_u3135_o ,\u_logic/_al_u3185_o }),
.q({open_n123770,\u_logic/F7jbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20185)
// ../rtl/topmodule/cortexm0ds_logic.v(17494)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110001010000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3138|u_logic/X5opw6_reg (
.a({\u_logic/St1iu6 ,\u_logic/_al_u1185_o }),
.b({\u_logic/Ar1iu6 ,\u_logic/Oh4iu6 }),
.c({HRDATA[19],\u_logic/I8lax6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/T6kbx6 ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u3138_o ,HWDATA[5]}),
.q({open_n123787,\u_logic/X5opw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17494)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3142 (
.a({\u_logic/_al_u3010_o ,\u_logic/_al_u3010_o }),
.b({\u_logic/Yvgiu6 ,\u_logic/Yvgiu6 }),
.c({\u_logic/F0eow6 ,\u_logic/F0eow6 }),
.d({\u_logic/Kl0bx6 ,\u_logic/Kl0bx6 }),
.mi({open_n123800,\u_logic/N0xpw6 }),
.fx({open_n123805,\u_logic/_al_u3142_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20098)
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*C*B*A)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~1*D*C*B*A)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3144|u_logic/Wxgbx6_reg (
.a({\u_logic/Cs1iu6 ,\u_logic/_al_u3387_o }),
.b({\u_logic/Ar1iu6 ,\u_logic/_al_u3396_o }),
.c({\u_logic/Syjbx6 ,\u_logic/_al_u3400_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Yybax6 ,\u_logic/_al_u3403_o }),
.e({open_n123808,\u_logic/eq0/xor_i0[31]_i1[31]_o_lutinv }),
.mi({open_n123810,\u_logic/W74iu6 }),
.f({\u_logic/Vytiu6 ,\u_logic/_al_u3405_o }),
.q({open_n123826,\u_logic/Wxgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20098)
// ../rtl/topmodule/cortexm0ds_logic.v(20099)
EG_PHY_MSLICE #(
//.LUT0("~((D*C)@(B*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000011101110111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3148|u_logic/Tzgbx6_reg (
.a({\u_logic/Dw1iu6 ,\u_logic/My0iu6 }),
.b({\u_logic/Xs1iu6 ,\u_logic/_al_u3321_o }),
.c({\u_logic/Dmeax6 ,\u_logic/J71iu6_lutinv }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Kqdax6 ,\u_logic/N39ax6 }),
.mi({open_n123837,\u_logic/W74iu6 }),
.f({\u_logic/_al_u3148_o ,\u_logic/_al_u3322_o }),
.q({open_n123842,\u_logic/Tzgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20099)
// ../rtl/topmodule/cortexm0ds_logic.v(19194)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3155|u_logic/Fb0bx6_reg (
.a({\u_logic/F0eow6 ,HWDATA[13]}),
.b({\u_logic/M0eow6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/D70bx6 ,\u_logic/Fb0bx6 }),
.clk(clk_pad),
.d({\u_logic/Lg1bx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/Fviow6 ,open_n123860}),
.q({open_n123864,\u_logic/Fb0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19194)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*~A*~(0@D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*~B*~A*~(1@D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000000000010000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001000000000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3160|u_logic/_al_u3307 (
.a({\u_logic/Xs1iu6 ,\u_logic/eq1/xor_i0[29]_i1[29]_o_lutinv }),
.b({\u_logic/Ar1iu6 ,\u_logic/eq1/xor_i0[26]_i1[26]_o_lutinv }),
.c({\u_logic/C07bx6 ,\u_logic/_al_u3303_o }),
.d({\u_logic/J39bx6 ,\u_logic/Cykhu6 }),
.e({open_n123867,\u_logic/Qkabx6 }),
.f({\u_logic/_al_u3160_o ,\u_logic/_al_u3307_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(0*C)*~(D*A))"),
//.LUT1("(~B*~(1*C)*~(D*A))"),
.INIT_LUT0(16'b0001000100110011),
.INIT_LUT1(16'b0000000100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3166 (
.a({\u_logic/St1iu6 ,\u_logic/St1iu6 }),
.b({\u_logic/_al_u2979_o ,\u_logic/_al_u2979_o }),
.c({\u_logic/Iv1iu6 ,\u_logic/Iv1iu6 }),
.d({HRDATA[10],HRDATA[10]}),
.mi({open_n123900,\u_logic/vis_pc_o[9] }),
.fx({open_n123905,\u_logic/_al_u3166_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19542)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3178|u_logic/Qo3bx6_reg (
.a({\u_logic/F0eow6 ,\u_logic/_al_u1923_o }),
.b({\u_logic/M0eow6 ,\u_logic/_al_u1066_o }),
.c({\u_logic/Rijbx6 ,\u_logic/_al_u1908_o }),
.clk(clk_pad),
.d({\u_logic/Tkjbx6 ,\u_logic/_al_u1067_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u3178_o ,\u_logic/M3phu6 }),
.q({open_n123928,\u_logic/Qo3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19542)
// ../rtl/topmodule/cortexm0ds_logic.v(18304)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(A*~(D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0010101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3182|u_logic/B9eax6_reg (
.a({\u_logic/Wo1iu6 ,\u_logic/Cs1iu6 }),
.b({\u_logic/_al_u3176_o ,\u_logic/Ws4iu6_lutinv }),
.c({\u_logic/_al_u3177_o ,\u_logic/Opbax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Ibsiu6 ,\u_logic/Vz8ax6 }),
.mi({open_n123939,\u_logic/Df4iu6 }),
.f({\u_logic/_al_u3182_o ,\u_logic/_al_u3268_o }),
.q({open_n123944,\u_logic/B9eax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18304)
// ../rtl/topmodule/cortexm0ds_logic.v(18268)
EG_PHY_LSLICE #(
//.LUTF0("(D*B*A*~(0*C))"),
//.LUTF1("(A*~(B*~(D*C)))"),
//.LUTG0("(D*B*A*~(1*C))"),
//.LUTG1("(A*~(B*~(D*C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000100000000000),
.INIT_LUTF1(16'b1010001000100010),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b1010001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3191|u_logic/Dncax6_reg (
.a({\u_logic/Wo1iu6 ,\u_logic/_al_u3041_o }),
.b({\u_logic/_al_u3190_o ,\u_logic/_al_u3043_o }),
.c({\u_logic/Iv1iu6 ,\u_logic/St1iu6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[7] ,\u_logic/Wtviu6 }),
.e({open_n123945,HRDATA[27]}),
.mi({open_n123947,\u_logic/T94iu6 }),
.f({\u_logic/_al_u3191_o ,\u_logic/_al_u3045_o }),
.q({open_n123963,\u_logic/Dncax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18268)
EG_PHY_LSLICE #(
//.LUTF0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("(C*D)"),
//.LUTG0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0010111000111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0010111000111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3196|u_logic/_al_u998 (
.a({open_n123964,\u_logic/_al_u633_o }),
.b({open_n123965,\u_logic/_al_u657_o }),
.c({\u_logic/Le2qw6 ,\u_logic/Puwpw6 }),
.d({\u_logic/Cvciu6 ,\u_logic/Ufbbx6 }),
.f({\u_logic/_al_u3196_o ,\u_logic/_al_u998_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17974)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(D*~C)*~(0*B))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("~(~A*~(D*~C)*~(1*B))"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010111110101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1110111111101110),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3197|u_logic/Le2qw6_reg (
.a({open_n123990,\u_logic/_al_u2948_o }),
.b({\u_logic/Le2qw6 ,\u_logic/Nr4iu6_lutinv }),
.c({\u_logic/Pe7ax6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/K0xiu6 ,\u_logic/Le2qw6 }),
.e({open_n123992,\u_logic/Yf1qw6 }),
.sr(RSTn_pad),
.f({\u_logic/Ixriu6 ,open_n124007}),
.q({open_n124011,\u_logic/Le2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17974)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b1100101000000000),
.MODE("LOGIC"))
\u_logic/_al_u3198|u_logic/_al_u3492 (
.a({\u_logic/_al_u3196_o ,\u_logic/Cvciu6 }),
.b({\u_logic/Ixriu6 ,\u_logic/D43qw6 }),
.c({\u_logic/D43qw6 ,\u_logic/Di3qw6 }),
.d({\u_logic/Di3qw6 ,\u_logic/Le2qw6 }),
.f({\u_logic/_al_u3198_o ,\u_logic/_al_u3492_o }));
EG_PHY_LSLICE #(
//.LUTF0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("(~D*C*B*A)"),
.INIT_LUTF0(16'b0010111000111111),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0010111000111111),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3200|u_logic/_al_u1006 (
.a({\u_logic/X4wiu6_lutinv ,\u_logic/_al_u633_o }),
.b({\u_logic/Hw8ax6 ,\u_logic/_al_u657_o }),
.c({\u_logic/Le2qw6 ,\u_logic/Bcdbx6 }),
.d({\u_logic/Pe7ax6 ,\u_logic/Sddbx6 }),
.f({\u_logic/_al_u3200_o ,\u_logic/Ig2iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~0*~(~D*~C*~B)))"),
//.LUT1("(~A*~(~1*~(~D*~C*~B)))"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b0101010101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3201 (
.a({\u_logic/_al_u2979_o ,\u_logic/_al_u2979_o }),
.b({\u_logic/_al_u3196_o ,\u_logic/_al_u3196_o }),
.c({\u_logic/Ixriu6 ,\u_logic/Ixriu6 }),
.d({\u_logic/_al_u3200_o ,\u_logic/_al_u3200_o }),
.mi({open_n124068,\u_logic/Di3qw6 }),
.fx({open_n124073,\u_logic/F0riu6 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3206 (
.a({\u_logic/_al_u3205_o ,\u_logic/_al_u3205_o }),
.b({\u_logic/M6eiu6 ,\u_logic/M6eiu6 }),
.c({\u_logic/I3fiu6 ,\u_logic/I3fiu6 }),
.d({\u_logic/S3mpw6 ,\u_logic/S3mpw6 }),
.mi({open_n124088,\u_logic/Thxax6 }),
.fx({open_n124093,\u_logic/_al_u3206_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u3207|u_logic/_al_u3099 (
.a({\u_logic/S1fiu6 ,\u_logic/F0eow6 }),
.b({\u_logic/Yvgiu6 ,\u_logic/M0eow6 }),
.c({\u_logic/H4zax6 ,\u_logic/B3gbx6 }),
.d({\u_logic/Ujxax6 ,\u_logic/Y0gbx6 }),
.f({\u_logic/_al_u3207_o ,\u_logic/_al_u3099_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20034)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3208|u_logic/B3gbx6_reg (
.a({\u_logic/F0eow6 ,HWDATA[22]}),
.b({\u_logic/M0eow6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/C10bx6 ,\u_logic/B3gbx6 }),
.clk(clk_pad),
.d({\u_logic/Qo3bx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3208_o ,open_n124129}),
.q({open_n124133,\u_logic/B3gbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20034)
// ../rtl/topmodule/cortexm0ds_logic.v(19038)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3209|u_logic/Auyax6_reg (
.a({\u_logic/_al_u3206_o ,open_n124134}),
.b({\u_logic/_al_u3207_o ,\u_logic/C3wpw6 }),
.c({\u_logic/_al_u3208_o ,\u_logic/U31bx6 }),
.ce(\u_logic/n1116 ),
.clk(clk_pad),
.d({\u_logic/Tzdiu6 ,\u_logic/_al_u4166_o }),
.e({\u_logic/Yqzax6 ,open_n124135}),
.mi({open_n124137,HWDATA[23]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3209_o ,\u_logic/_al_u4167_o }),
.q({open_n124152,\u_logic/Auyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19038)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*~A)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000001000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u320|u_logic/_al_u1277 (
.a({open_n124153,\u_logic/_al_u1185_o }),
.b({open_n124154,\u_logic/J71iu6_lutinv }),
.c({\u_logic/Xuzhu6 ,\u_logic/I8lax6 }),
.d({\u_logic/Y50iu6 ,\u_logic/Wvgax6 }),
.f({\u_logic/Mifpw6 [30],\u_logic/_al_u1277_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3210|u_logic/_al_u4437 (
.a({\u_logic/Q0fiu6 ,\u_logic/_al_u4436_o }),
.b({\u_logic/E1fiu6 ,\u_logic/Hqgiu6 }),
.c({\u_logic/Mfyax6 ,\u_logic/G2fiu6 }),
.d({\u_logic/Wu3bx6 ,\u_logic/Gz6ax6 }),
.e({open_n124177,\u_logic/K65bx6 }),
.f({\u_logic/_al_u3210_o ,\u_logic/_al_u4437_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19164)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3213|u_logic/C10bx6_reg (
.a({\u_logic/F0eow6 ,HWDATA[7]}),
.b({\u_logic/M0eow6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Lr9bx6 ,\u_logic/C10bx6 }),
.clk(clk_pad),
.d({\u_logic/Nt9bx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3213_o ,open_n124215}),
.q({open_n124219,\u_logic/C10bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19164)
// ../rtl/topmodule/cortexm0ds_logic.v(19882)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3215|u_logic/Bcabx6_reg (
.a({\u_logic/_al_u3213_o ,\u_logic/M6eiu6 }),
.b({\u_logic/Lvlow6 ,\u_logic/I3fiu6 }),
.c({\u_logic/S1fiu6 ,\u_logic/Auyax6 }),
.ce(\u_logic/n1116 ),
.clk(clk_pad),
.d({\u_logic/V5abx6 ,\u_logic/J7xax6 }),
.mi({open_n124223,HWDATA[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3215_o ,\u_logic/_al_u4438_o }),
.q({open_n124238,\u_logic/Bcabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19882)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3216|u_logic/_al_u4318 (
.a({\u_logic/U2fiu6 ,\u_logic/U2fiu6 }),
.b({\u_logic/Q0fiu6 ,\u_logic/Q0fiu6 }),
.c({\u_logic/R1abx6 ,\u_logic/K94bx6 }),
.d({\u_logic/Z9abx6 ,\u_logic/Yw3bx6 }),
.f({\u_logic/_al_u3216_o ,\u_logic/_al_u4318_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3217 (
.a({\u_logic/_al_u3216_o ,\u_logic/_al_u3216_o }),
.b({\u_logic/G2fiu6 ,\u_logic/G2fiu6 }),
.c({\u_logic/Yvgiu6 ,\u_logic/Yvgiu6 }),
.d({\u_logic/Rv7ax6 ,\u_logic/Rv7ax6 }),
.mi({open_n124275,\u_logic/X7abx6 }),
.fx({open_n124280,\u_logic/_al_u3217_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*A*~(0*B))"),
//.LUT1("(~D*~C*A*~(1*B))"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3222 (
.a({\u_logic/_al_u3221_o ,\u_logic/_al_u3221_o }),
.b({\u_logic/St1iu6 ,\u_logic/St1iu6 }),
.c({\u_logic/_al_u2979_o ,\u_logic/_al_u2979_o }),
.d({\u_logic/Ve7iu6 ,\u_logic/Ve7iu6 }),
.mi({open_n124295,HRDATA[6]}),
.fx({open_n124300,\u_logic/_al_u3222_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3224 (
.b({open_n124305,\u_logic/_al_u92_o }),
.c({open_n124306,\u_logic/Hw8ax6 }),
.d({open_n124309,\u_logic/Ffqiu6 }),
.f({open_n124323,\u_logic/_al_u3224_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*~A)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0001000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u3225|u_logic/_al_u408 (
.a({open_n124329,\u_logic/H0ebx6 }),
.b({open_n124330,\u_logic/Jvkpw6 }),
.c({\u_logic/_al_u3224_o ,\u_logic/Lhbbx6 }),
.d({\u_logic/_al_u3198_o ,\u_logic/Ojebx6 }),
.f({\u_logic/_al_u3225_o ,\u_logic/_al_u408_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20187)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(A*~(0*D*C*B))"),
//.LUTG0("(C*D)"),
//.LUTG1("(A*~(1*D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3228|u_logic/Xajbx6_reg (
.a({\u_logic/Wo1iu6 ,open_n124351}),
.b({\u_logic/Kkriu6 ,open_n124352}),
.c({\u_logic/_al_u3222_o ,\u_logic/Gl1qw6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/_al_u3225_o ,\u_logic/Iqzhu6_lutinv }),
.e({\u_logic/_al_u3227_o ,open_n124353}),
.f({\u_logic/_al_u3228_o ,\u_logic/Ym4iu6 }),
.q({open_n124372,\u_logic/Xajbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20187)
// ../rtl/topmodule/cortexm0ds_logic.v(17598)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~C*D)"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3236|u_logic/M6rpw6_reg (
.a({\u_logic/_al_u3234_o ,open_n124373}),
.b({\u_logic/_al_u3235_o ,open_n124374}),
.c({\u_logic/M6eiu6 ,\u_logic/Us3bx6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/X5opw6 ,\u_logic/Bxdpw6 }),
.mi({open_n124378,HWDATA[0]}),
.f({\u_logic/Eariu6 ,\u_logic/_al_u2837_o }),
.q({open_n124394,\u_logic/M6rpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17598)
// ../rtl/topmodule/cortexm0ds_logic.v(19965)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3237|u_logic/Zycbx6_reg (
.b({\u_logic/F0riu6 ,open_n124397}),
.c({\u_logic/Eariu6 ,\u_logic/Drcbx6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/_al_u3233_o ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u3237_o ,\u_logic/Y84iu6 }),
.q({open_n124414,\u_logic/Zycbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19965)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*D*C*B))"),
//.LUTF1("(A*~(D*C*B))"),
//.LUTG0("(A*~(1*D*C*B))"),
//.LUTG1("(A*~(D*C*B))"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b0010101010101010),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b0010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3240|u_logic/_al_u3516 (
.a({\u_logic/Wo1iu6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/_al_u3237_o ,\u_logic/_al_u3509_o }),
.c({\u_logic/_al_u3225_o ,\u_logic/Yzqiu6 }),
.d({\u_logic/_al_u3239_o ,\u_logic/_al_u3515_o }),
.e({open_n124417,\u_logic/F0riu6 }),
.f({\u_logic/_al_u3240_o ,\u_logic/_al_u3516_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17310)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~A*~(D*B))"),
//.LUTF1("(C*~D)"),
//.LUTG0("~(C*~A*~(D*B))"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111110101111),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1110111110101111),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3241|u_logic/Stkpw6_reg (
.a({open_n124438,\u_logic/_al_u985_o }),
.b({open_n124439,\u_logic/Vk1iu6 }),
.c({\u_logic/Ceabx6 ,\u_logic/Oc2iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/D7xiu6_lutinv ,\u_logic/Nrkpw6 }),
.f({\u_logic/_al_u3241_o ,open_n124458}),
.q({open_n124462,\u_logic/Stkpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17310)
// ../rtl/topmodule/cortexm0ds_logic.v(17797)
EG_PHY_LSLICE #(
//.LUTF0("(B*~((0*D*C))*~(A)+B*(0*D*C)*~(A)+~(B)*(0*D*C)*A+B*(0*D*C)*A)"),
//.LUTF1("(~(D*~B)*~(C*A))"),
//.LUTG0("(B*~((1*D*C))*~(A)+B*(1*D*C)*~(A)+~(B)*(1*D*C)*A+B*(1*D*C)*A)"),
//.LUTG1("(~(D*~B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010001000100),
.INIT_LUTF1(16'b0100110001011111),
.INIT_LUTG0(16'b1110010001000100),
.INIT_LUTG1(16'b0100110001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3243|u_logic/C1wpw6_reg (
.a({\u_logic/Bo1iu6 ,\u_logic/_al_u2968_o }),
.b({\u_logic/D7xiu6_lutinv ,HWRITE}),
.c({\u_logic/P23qw6 ,\u_logic/Wjyiu6 }),
.clk(clk_pad),
.d({\u_logic/Xu2qw6 ,\u_logic/Rzciu6_lutinv }),
.e({open_n124464,\u_logic/C1wpw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3243_o ,open_n124479}),
.q({open_n124483,\u_logic/C1wpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17797)
// ../rtl/AHBsubordinate/AHBlite_UART.v(32)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C)*~((D*A))+B*C*~((D*A))+~(B)*C*(D*A)+B*C*(D*A))"),
//.LUT1("(~C*~B*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001101100110011),
.INIT_LUT1(16'b0000000100000011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3245|UART_Interface/reg0_b3 (
.a({\u_logic/St1iu6 ,\u_logic/HMASTER }),
.b({\u_logic/_al_u2979_o ,\u_logic/_al_u2613_o }),
.c({\u_logic/_al_u3244_o ,\u_logic/Am6iu6_lutinv }),
.ce(\UART_Interface/n5 ),
.clk(clk_pad),
.d({HRDATA[3],\u_logic/_al_u2756_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u3245_o ,HADDR[3]}),
.q({open_n124499,\UART_Interface/addr_reg [3]})); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("(C*B*~D)"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"))
\u_logic/_al_u3249|u_logic/_al_u4362 (
.a({open_n124500,\u_logic/Bo1iu6 }),
.b({\u_logic/Di3qw6 ,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/Le2qw6 ,\u_logic/Ro8ax6 }),
.d({\u_logic/_al_u3248_o ,\u_logic/Su8ax6 }),
.f({\u_logic/_al_u3249_o ,\u_logic/Ipsiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19985)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*~B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~(C*~B))"),
//.LUTG1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011001111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000011001111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3250|u_logic/H0ebx6_reg (
.b({open_n124523,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/Sqwpw6 ,\u_logic/Gbvpw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u3249_o ,\u_logic/_al_u2975_o }),
.mi({open_n124527,\u_logic/Sddbx6 }),
.f({\u_logic/_al_u3250_o ,\u_logic/_al_u3130_o }),
.q({open_n124543,\u_logic/H0ebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19985)
// ../rtl/topmodule/cortexm0ds_logic.v(19152)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3259|u_logic/Cxzax6_reg (
.a({\u_logic/_al_u3257_o ,HWDATA[1]}),
.b({\u_logic/_al_u3258_o ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/M6eiu6 ,\u_logic/Cxzax6 }),
.clk(clk_pad),
.d({\u_logic/Oyhbx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/Tmqiu6 ,open_n124561}),
.q({open_n124565,\u_logic/Cxzax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19152)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b1110011001111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3260|u_logic/_al_u3248 (
.a({\u_logic/Di3qw6 ,\u_logic/D43qw6 }),
.b({\u_logic/Le2qw6 ,\u_logic/Hw8ax6 }),
.c({\u_logic/Sqwpw6 ,\u_logic/Pe7ax6 }),
.d({\u_logic/Zm8ax6 ,\u_logic/Zm8ax6 }),
.f({\u_logic/_al_u3260_o ,\u_logic/_al_u3248_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111001100111111),
.INIT_LUT1(16'b1111111100110101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3261 (
.a({\u_logic/Ffqiu6 ,\u_logic/Ffqiu6 }),
.b({\u_logic/_al_u3260_o ,\u_logic/_al_u3260_o }),
.c({\u_logic/D43qw6 ,\u_logic/D43qw6 }),
.d({\u_logic/Hw8ax6 ,\u_logic/Hw8ax6 }),
.mi({open_n124598,\u_logic/Pe7ax6 }),
.fx({open_n124603,\u_logic/_al_u3261_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19974)
EG_PHY_MSLICE #(
//.LUT0("~(C*~A*~(D*B))"),
//.LUT1("(D*C*(B@A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111110101111),
.INIT_LUT1(16'b0110000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3262|u_logic/Jfdbx6_reg (
.a({\u_logic/D43qw6 ,\u_logic/_al_u985_o }),
.b({\u_logic/Di3qw6 ,\u_logic/Vk1iu6 }),
.c({\u_logic/Le2qw6 ,\u_logic/Rh2iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Pe7ax6 ,\u_logic/Cydbx6 }),
.f({\u_logic/_al_u3262_o ,open_n124620}),
.q({open_n124624,\u_logic/Jfdbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19974)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3263|u_logic/_al_u3486 (
.a({open_n124625,\u_logic/Nkwiu6 }),
.b({\u_logic/_al_u3262_o ,\u_logic/D43qw6 }),
.c({\u_logic/Nkwiu6 ,\u_logic/Le2qw6 }),
.d({\u_logic/_al_u3261_o ,\u_logic/Pe7ax6 }),
.f({\u_logic/Vvpiu6_lutinv ,\u_logic/Q3qiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18179)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3264|u_logic/W4aax6_reg (
.a({\u_logic/_al_u3251_o ,open_n124646}),
.b({\u_logic/_al_u3256_o ,open_n124647}),
.c({\u_logic/Tmqiu6 ,\u_logic/Bp2qw6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Vvpiu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u3264_o ,\u_logic/K84iu6 }),
.q({open_n124664,\u_logic/W4aax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18179)
// ../rtl/topmodule/cortexm0ds_logic.v(18202)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3267|u_logic/P0bax6_reg (
.a({\u_logic/_al_u3266_o ,\u_logic/Vvpiu6_lutinv }),
.b({\u_logic/Vr1iu6 ,\u_logic/Zt1iu6 }),
.c({\u_logic/Fgpiu6 ,\u_logic/Eg7iu6 }),
.ce(\u_logic/n531 ),
.clk(clk_pad),
.d({\u_logic/Hlcax6 ,\u_logic/L2bax6 }),
.e({\u_logic/Rkbax6 ,\u_logic/Xwaax6 }),
.mi({open_n124666,\u_logic/Ud4iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3267_o ,\u_logic/_al_u3523_o }),
.q({open_n124681,\u_logic/P0bax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18202)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0010100000001000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u326|_al_u337 (
.a({open_n124682,_al_u320_o}),
.b({open_n124683,\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\u_logic/Xuzhu6 ,\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({\u_logic/P40iu6 ,RAMCODE_RDATA[30]}),
.e({open_n124686,RAMDATA_RDATA[30]}),
.f({\u_logic/Mifpw6 [6],HRDATA[30]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18289)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3270|u_logic/Ahdax6_reg (
.a({\u_logic/_al_u3268_o ,\u_logic/Xs1iu6 }),
.b({\u_logic/_al_u3269_o ,\u_logic/Eg7iu6 }),
.c({\u_logic/Iv1iu6 ,\u_logic/F4ibx6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[1] ,\u_logic/Qmdax6 }),
.mi({open_n124710,\u_logic/Ud4iu6 }),
.f({\u_logic/_al_u3270_o ,\u_logic/_al_u3077_o }),
.q({open_n124726,\u_logic/Ahdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18289)
EG_PHY_MSLICE #(
//.LUT0("(0*D*~C*~B*A)"),
//.LUT1("(1*D*~C*~B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0000001000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3272 (
.a({\u_logic/Nkwiu6 ,\u_logic/Nkwiu6 }),
.b({\u_logic/D43qw6 ,\u_logic/D43qw6 }),
.c({\u_logic/Di3qw6 ,\u_logic/Di3qw6 }),
.d({\u_logic/Le2qw6 ,\u_logic/Le2qw6 }),
.mi({open_n124739,\u_logic/Pe7ax6 }),
.fx({open_n124744,\u_logic/_al_u3272_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(B*(C*~(D)*~(0)+~(C)*D*~(0)+C*~(D)*0)))"),
//.LUT1("(~A*~(B*(C*~(D)*~(1)+~(C)*D*~(1)+C*~(D)*1)))"),
.INIT_LUT0(16'b0101000100010101),
.INIT_LUT1(16'b0101010100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3275 (
.a({\u_logic/_al_u3250_o ,\u_logic/_al_u3250_o }),
.b({\u_logic/Ffqiu6 ,\u_logic/Ffqiu6 }),
.c({\u_logic/D43qw6 ,\u_logic/D43qw6 }),
.d({\u_logic/Hw8ax6 ,\u_logic/Hw8ax6 }),
.mi({open_n124759,\u_logic/Pe7ax6 }),
.fx({open_n124764,\u_logic/Qaqiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18412)
EG_PHY_MSLICE #(
//.LUT0("(B*~((D*~C))*~(A)+B*(D*~C)*~(A)+~(B)*(D*~C)*A+B*(D*~C)*A)"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100111001000100),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3276|u_logic/Vqgax6_reg (
.a({\u_logic/_al_u3274_o ,\u_logic/n5754 }),
.b({\u_logic/Qaqiu6 ,\u_logic/_al_u2920_o }),
.c({\u_logic/Zt1iu6 ,\u_logic/Wqzhu6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Bvaax6 ,\u_logic/Ksgax6 }),
.mi({open_n124777,\u_logic/F94iu6 }),
.f({\u_logic/_al_u3276_o ,HSIZE[0]}),
.q({open_n124782,\u_logic/Vqgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18412)
// ../rtl/topmodule/cortexm0ds_logic.v(18178)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3278|u_logic/Z2aax6_reg (
.a({\u_logic/St1iu6 ,open_n124783}),
.b({\u_logic/K0qiu6_lutinv ,open_n124784}),
.c({HRDATA[2],\u_logic/Ra2qw6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Fm7ax6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u3278_o ,\u_logic/T94iu6 }),
.q({open_n124805,\u_logic/Z2aax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18178)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*C*~B*A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3279|u_logic/_al_u410 (
.a({open_n124806,\u_logic/Vuciu6 }),
.b({open_n124807,\u_logic/Hw8ax6 }),
.c({\u_logic/D43qw6 ,\u_logic/Sqwpw6 }),
.d({\u_logic/_al_u3200_o ,\u_logic/Zm8ax6 }),
.f({\u_logic/_al_u3279_o ,\u_logic/_al_u410_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19949)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3281|u_logic/Zdcbx6_reg (
.a({\u_logic/_al_u3278_o ,open_n124832}),
.b({\u_logic/Wzpiu6 ,open_n124833}),
.c({\u_logic/Dw1iu6 ,\u_logic/A6cbx6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Tceax6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u3281_o ,\u_logic/R84iu6 }),
.q({open_n124850,\u_logic/Zdcbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19949)
// ../rtl/topmodule/cortexm0ds_logic.v(19158)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3282|u_logic/Czzax6_reg (
.a({\u_logic/Yvgiu6 ,HWDATA[5]}),
.b({\u_logic/M6eiu6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Kzabx6 ,\u_logic/Czzax6 }),
.clk(clk_pad),
.d({\u_logic/Vlxax6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3282_o ,open_n124864}),
.q({open_n124868,\u_logic/Czzax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19158)
// ../rtl/topmodule/cortexm0ds_logic.v(18196)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3288|u_logic/Tyaax6_reg (
.a({open_n124869,\u_logic/_al_u3483_o }),
.b({open_n124870,\u_logic/Yc7iu6 }),
.c({\u_logic/Tyaax6 ,\u_logic/Ar1iu6 }),
.ce(\u_logic/n531 ),
.clk(clk_pad),
.d({\u_logic/L2bax6 ,\u_logic/Eafax6 }),
.e({open_n124871,\u_logic/I1lpw6 }),
.mi({open_n124873,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3288_o ,\u_logic/_al_u3484_o }),
.q({open_n124888,\u_logic/Tyaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18196)
// ../rtl/topmodule/cortexm0ds_logic.v(18932)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u328|u_logic/N1wax6_reg (
.a({\u_logic/Wanow6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/V6now6_lutinv ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r7_o[9] ,\u_logic/vis_r5_o[9] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[9] ,\u_logic/vis_r2_o[9] }),
.mi({open_n124892,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u328_o ,\u_logic/_al_u568_o }),
.q({open_n124908,\u_logic/vis_r7_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(18932)
// ../rtl/topmodule/cortexm0ds_logic.v(18159)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*~(D*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*~(D*A))"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100000011000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0100000011000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3290|u_logic/N39ax6_reg (
.a({open_n124909,\u_logic/St1iu6 }),
.b({\u_logic/P0bax6 ,\u_logic/T7riu6 }),
.c({\u_logic/Sbfax6 ,\u_logic/_al_u3232_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Gr2qw6 ,HRDATA[5]}),
.mi({open_n124913,\u_logic/T24iu6 }),
.f({\u_logic/_al_u3290_o ,\u_logic/_al_u3233_o }),
.q({open_n124929,\u_logic/N39ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18159)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3294|u_logic/_al_u3302 (
.c({\u_logic/T7bax6 ,\u_logic/P9bax6 }),
.d({\u_logic/_al_u3290_o ,\u_logic/_al_u3292_o }),
.f({\u_logic/_al_u3294_o ,\u_logic/Nvkbx6 [7]}));
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(D*~(0*C)))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~B*A*~(D*~(1*C)))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000100010),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0010000000100010),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3296|_al_u317 (
.a({open_n124958,_al_u302_o}),
.b({open_n124959,_al_u316_o}),
.c({\u_logic/_al_u3295_o ,_al_u304_o}),
.d({\u_logic/_al_u3294_o ,_al_u227_o}),
.e({open_n124962,FMDATA_RDATA[15]}),
.f({\u_logic/_al_u3296_o ,HRDATA[15]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(~A*~(B*~(D*~C)))"),
//.LUTG0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(~A*~(B*~(D*~C)))"),
.INIT_LUTF0(16'b1100010000000100),
.INIT_LUTF1(16'b0001010100010001),
.INIT_LUTG0(16'b1100010000000100),
.INIT_LUTG1(16'b0001010100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3299|u_logic/_al_u3329 (
.a({\u_logic/_al_u3291_o ,\u_logic/_al_u2618_o }),
.b({\u_logic/_al_u3294_o ,\u_logic/Nvkbx6 [4]}),
.c({\u_logic/_al_u3295_o ,\u_logic/_al_u3288_o }),
.d({\u_logic/Pkkbx6 ,\u_logic/vis_pc_o[3] }),
.f({\u_logic/_al_u3299_o ,\u_logic/Alkhu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18801)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u329|u_logic/Gtoax6_reg (
.a({\u_logic/U9now6_lutinv ,\u_logic/Cpqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r5_o[9] ,\u_logic/vis_r0_o[9] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[9] ,\u_logic/vis_r4_o[9] }),
.mi({open_n125010,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u329_o ,\u_logic/_al_u569_o }),
.q({open_n125026,\u_logic/vis_r6_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(18801)
// ../rtl/topmodule/cortexm0ds_logic.v(20014)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*(~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D))"),
//.LUTF1("(D@(A*~(~C*B)))"),
//.LUTG0("(C*~B*(~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D))"),
//.LUTG1("(D@(A*~(~C*B)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000010000),
.INIT_LUTF1(16'b0101110110100010),
.INIT_LUTG0(16'b0011000000010000),
.INIT_LUTG1(16'b0101110110100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3300|u_logic/Qlfbx6_reg (
.a({\u_logic/Bw0iu6_lutinv ,\u_logic/_al_u2549_o }),
.b({\u_logic/_al_u3299_o ,\u_logic/_al_u3304_o }),
.c({\u_logic/_al_u3292_o ,\u_logic/Nvkbx6 [7]}),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Facbx6 ,\u_logic/_al_u3288_o }),
.e({open_n125027,\u_logic/vis_pc_o[5] }),
.mi({open_n125029,\u_logic/P74iu6 }),
.f({\u_logic/eq1/xor_i0[26]_i1[26]_o_lutinv ,\u_logic/Qnkhu6 }),
.q({open_n125045,\u_logic/Qlfbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20014)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUT1("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
.INIT_LUT0(16'b1100000011110011),
.INIT_LUT1(16'b1100000011110011),
.MODE("LOGIC"))
\u_logic/_al_u3301|u_logic/_al_u3298 (
.b({\u_logic/_al_u3288_o ,\u_logic/_al_u3288_o }),
.c({\u_logic/vis_pc_o[4] ,\u_logic/vis_pc_o[25] }),
.d({\u_logic/_al_u2607_o ,\u_logic/_al_u2513_o }),
.f({\u_logic/Ba1iu6_lutinv ,\u_logic/Bw0iu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(19808)
EG_PHY_LSLICE #(
//.LUTF0("((D@B)*(C@A))"),
//.LUTF1("~(D@(C*~B*A))"),
//.LUTG0("((D@B)*(C@A))"),
//.LUTG1("~(D@(C*~B*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001001001000),
.INIT_LUTF1(16'b0010000011011111),
.INIT_LUTG0(16'b0001001001001000),
.INIT_LUTG1(16'b0010000011011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3303|u_logic/J39bx6_reg (
.a({\u_logic/Ba1iu6_lutinv ,\u_logic/_al_u2529_o }),
.b({\u_logic/_al_u3296_o ,\u_logic/_al_u2607_o }),
.c({\u_logic/Nvkbx6 [7],\u_logic/J39bx6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Xr9ax6 ,\u_logic/J5eax6 }),
.mi({open_n125071,\u_logic/Q44iu6 }),
.f({\u_logic/_al_u3303_o ,\u_logic/_al_u3608_o }),
.q({open_n125087,\u_logic/J39bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19808)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~C*D))"),
//.LUTF1("(D*~(~C*B))"),
//.LUTG0("(B*~(~C*D))"),
//.LUTG1("(D*~(~C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011001100),
.INIT_LUTF1(16'b1111001100000000),
.INIT_LUTG0(16'b1100000011001100),
.INIT_LUTG1(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3304|RAMCODE_Interface/reg1_b2 (
.b({\u_logic/_al_u3290_o ,\u_logic/P9bax6 }),
.c({\u_logic/Pkkbx6 ,\u_logic/T7bax6 }),
.ce(\RAMCODE_Interface/n10 ),
.clk(clk_pad),
.d({\u_logic/_al_u3296_o ,\u_logic/_al_u3295_o }),
.mi({open_n125093,\FMDATA_Interface/sel0_b2_sel_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u3304_o ,\u_logic/_al_u3339_o }),
.q({open_n125108,\RAMCODE_Interface/size_reg [2]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*~(~B*D))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1100000011110000),
.MODE("LOGIC"))
\u_logic/_al_u3305|u_logic/_al_u3293 (
.b({\u_logic/_al_u3291_o ,open_n125111}),
.c({\u_logic/_al_u3292_o ,\u_logic/_al_u3292_o }),
.d({\u_logic/_al_u3304_o ,\u_logic/_al_u3291_o }),
.f({\u_logic/Nvkbx6 [14],\u_logic/_al_u3293_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUT0(16'b0011000100000001),
.INIT_LUT1(16'b0011000100000001),
.MODE("LOGIC"))
\u_logic/_al_u3309|u_logic/_al_u3398 (
.a({\u_logic/_al_u2485_o ,\u_logic/_al_u2574_o }),
.b({\u_logic/_al_u3308_o ,\u_logic/_al_u3397_o }),
.c({\u_logic/_al_u3288_o ,\u_logic/_al_u3380_o }),
.d({\u_logic/vis_pc_o[16] ,\u_logic/vis_pc_o[23] }),
.f({\u_logic/_al_u3309_o ,\u_logic/Qrihu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17626)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u330|u_logic/Emrpw6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Xpqow6 }),
.b({\u_logic/Panow6_lutinv ,\u_logic/Voqow6 }),
.c({\u_logic/vis_r3_o[9] ,\u_logic/vis_r3_o[9] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[9] ,\u_logic/vis_r1_o[9] }),
.mi({open_n125155,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u330_o ,\u_logic/_al_u570_o }),
.q({open_n125171,\u_logic/vis_r0_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(17626)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~C*B))"),
//.LUT1("(D*~(C*~B))"),
.INIT_LUT0(16'b1010101010100010),
.INIT_LUT1(16'b1100111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3310|u_logic/_al_u3449 (
.a({open_n125172,\u_logic/_al_u3389_o }),
.b({\u_logic/_al_u3292_o ,\u_logic/_al_u3382_o }),
.c({\u_logic/X5bax6 ,\u_logic/Dfbax6 }),
.d({\u_logic/_al_u3309_o ,\u_logic/Hdbax6 }),
.f({\u_logic/A2lhu6 ,\u_logic/_al_u3449_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3311|u_logic/_al_u3393 (
.b({open_n125195,\u_logic/Hdbax6 }),
.c({\u_logic/Pkkbx6 ,\u_logic/Tikbx6 }),
.d({\u_logic/_al_u3295_o ,\u_logic/_al_u3382_o }),
.f({\u_logic/n6149_lutinv ,\u_logic/n6123_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(~C*~(~D*B*A))"),
.INIT_LUT0(16'b1100010000000100),
.INIT_LUT1(16'b0000111100000111),
.MODE("LOGIC"))
\u_logic/_al_u3312|u_logic/_al_u3306 (
.a({\u_logic/n6149_lutinv ,\u_logic/_al_u2541_o }),
.b({\u_logic/_al_u3291_o ,\u_logic/Nvkbx6 [14]}),
.c({\u_logic/_al_u3292_o ,\u_logic/_al_u3288_o }),
.d({\u_logic/T7bax6 ,\u_logic/vis_pc_o[13] }),
.f({\u_logic/_al_u3312_o ,\u_logic/Cykhu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19936)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D@B)*~(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3314|u_logic/Hpbbx6_reg (
.a({\u_logic/A2lhu6 ,\u_logic/Iv1iu6 }),
.b({\u_logic/S0lhu6 ,\u_logic/Xs1iu6 }),
.c({\u_logic/Qjbbx6 ,\u_logic/Erbbx6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Ue9ax6 ,\u_logic/vis_pc_o[16] }),
.mi({open_n125239,\u_logic/G64iu6 }),
.f({\u_logic/_al_u3314_o ,\u_logic/_al_u4278_o }),
.q({open_n125255,\u_logic/Hpbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19936)
// ../rtl/topmodule/cortexm0ds_logic.v(18169)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0011000100000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3318|u_logic/Im9ax6_reg (
.a({\u_logic/_al_u2583_o ,\u_logic/Kw1iu6_lutinv }),
.b({\u_logic/_al_u3317_o ,\u_logic/Ar1iu6 }),
.c({\u_logic/_al_u3288_o ,\u_logic/Gwxpw6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[24] ,\u_logic/Im9ax6 }),
.mi({open_n125266,\u_logic/J44iu6 }),
.f({\u_logic/Lclhu6 ,\u_logic/_al_u3164_o }),
.q({open_n125271,\u_logic/Im9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18169)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(~C*~(~B*~D))"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0000111100001100),
.MODE("LOGIC"))
\u_logic/_al_u3323|u_logic/_al_u3324 (
.b({\u_logic/_al_u3294_o ,\u_logic/n6149_lutinv }),
.c({\u_logic/Lbbax6 ,\u_logic/_al_u3292_o }),
.d({\u_logic/_al_u3291_o ,\u_logic/Nvkbx6 [11]}),
.f({\u_logic/Nvkbx6 [11],\u_logic/_al_u3324_o }));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0011000100000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3325|RAMCODE_Interface/reg1_b3 (
.a({\u_logic/_al_u2460_o ,open_n125294}),
.b({\u_logic/_al_u3324_o ,open_n125295}),
.c({\u_logic/_al_u3288_o ,\RAMCODE_Interface/wr_en_reg }),
.ce(\RAMCODE_Interface/n10 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[11] ,\RAMCODE_Interface/size_reg [3]}),
.mi({open_n125306,\FMDATA_Interface/sel0_b3_sel_o }),
.sr(cpuresetn),
.f({\u_logic/Mvkhu6 ,RAMCODE_WRITE[3]}),
.q({open_n125310,\RAMCODE_Interface/size_reg [3]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
EG_PHY_MSLICE #(
//.LUT0("(~(~C*~B)*~(D*A))"),
//.LUT1("(~(C@B)*~(D@A))"),
.INIT_LUT0(16'b0101010011111100),
.INIT_LUT1(16'b1000001001000001),
.MODE("LOGIC"))
\u_logic/_al_u3327|u_logic/_al_u3562 (
.a({\u_logic/Mvkhu6 ,\u_logic/_al_u2529_o }),
.b({\u_logic/Yokhu6 ,\u_logic/_al_u2485_o }),
.c({\u_logic/Bq9ax6 ,\u_logic/Hpbbx6 }),
.d({\u_logic/Lk9ax6 ,\u_logic/N19bx6 }),
.f({\u_logic/_al_u3327_o ,\u_logic/_al_u3562_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0011010100000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u332|u_logic/_al_u4488 (
.a({open_n125331,\u_logic/_al_u3881_o }),
.b({open_n125332,\u_logic/F14ju6 }),
.c({\u_logic/Xuzhu6 ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/U30iu6 ,\u_logic/_al_u4454_o }),
.f({\u_logic/Mifpw6 [9],\u_logic/_al_u4488_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D@C)*~(0@B))"),
//.LUT1("(A*~(D@C)*~(1@B))"),
.INIT_LUT0(16'b0010000000000010),
.INIT_LUT1(16'b1000000000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3332 (
.a({\u_logic/_al_u3327_o ,\u_logic/_al_u3327_o }),
.b({\u_logic/Alkhu6 ,\u_logic/Alkhu6 }),
.c({\u_logic/O8lhu6 ,\u_logic/O8lhu6 }),
.d({\u_logic/Tjfbx6 ,\u_logic/Tjfbx6 }),
.mi({open_n125365,\u_logic/Tt9ax6 }),
.fx({open_n125370,\u_logic/_al_u3332_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUTF0(16'b1100010000000100),
.INIT_LUTF1(16'b1100010000000100),
.INIT_LUTG0(16'b1100010000000100),
.INIT_LUTG1(16'b1100010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3334|u_logic/_al_u3337 (
.a({\u_logic/_al_u2521_o ,\u_logic/_al_u2545_o }),
.b({\u_logic/Nvkbx6 [8],\u_logic/_al_u3292_o }),
.c({\u_logic/_al_u3288_o ,\u_logic/_al_u3288_o }),
.d({\u_logic/vis_pc_o[7] ,\u_logic/vis_pc_o[14] }),
.f({\u_logic/Gqkhu6 ,\u_logic/Kzkhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*B*~(0*~(~C*A)))"),
//.LUTF1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(~D*B*~(1*~(~C*A)))"),
//.LUTG1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUTF0(16'b0000000011001100),
.INIT_LUTF1(16'b1100010000000100),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b1100010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3335|u_logic/_al_u3428 (
.a({\u_logic/_al_u2529_o ,\u_logic/n6123_lutinv }),
.b({\u_logic/Nvkbx6 [11],\u_logic/_al_u3382_o }),
.c({\u_logic/_al_u3288_o ,\u_logic/Dfbax6 }),
.d({\u_logic/vis_pc_o[10] ,\u_logic/Vibax6 }),
.e({open_n125399,\u_logic/Zgbax6 }),
.f({\u_logic/Eukhu6 ,\u_logic/Ntkbx6 [8]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D@B)*~(C@A))"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3336|u_logic/_al_u3085 (
.a({\u_logic/Gqkhu6 ,\u_logic/_al_u3084_o }),
.b({\u_logic/Eukhu6 ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/Fo9ax6 ,\u_logic/Ar1iu6 }),
.d({\u_logic/Xv8bx6 ,\u_logic/Tjfbx6 }),
.e({open_n125422,\u_logic/Tlebx6 }),
.f({\u_logic/_al_u3336_o ,\u_logic/_al_u3085_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20017)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*B*A*~(0@D))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*B*A*~(1@D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3338|u_logic/Hrfbx6_reg (
.a({\u_logic/_al_u3322_o ,\u_logic/_al_u3561_o }),
.b({\u_logic/_al_u3332_o ,\u_logic/_al_u3563_o }),
.c({\u_logic/_al_u3336_o ,\u_logic/_al_u3564_o }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Kzkhu6 ,\u_logic/_al_u3565_o }),
.e({\u_logic/Rg9ax6 ,open_n125443}),
.mi({open_n125445,\u_logic/P74iu6 }),
.f({\u_logic/_al_u3338_o ,\u_logic/_al_u3566_o }),
.q({open_n125461,\u_logic/Hrfbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20017)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u333|u_logic/_al_u601 (
.a({\u_logic/Wanow6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Kmqow6 }),
.c({\u_logic/vis_r5_o[31] ,\u_logic/vis_r2_o[31] }),
.d({\u_logic/vis_r7_o[31] ,\u_logic/vis_r6_o[31] }),
.f({\u_logic/_al_u333_o ,\u_logic/Pnvow6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*(~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D))"),
//.LUT1("(C*~B*(~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D))"),
.INIT_LUT0(16'b0000000000010000),
.INIT_LUT1(16'b0011000000010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3341 (
.a({\u_logic/_al_u2525_o ,\u_logic/_al_u2525_o }),
.b({\u_logic/_al_u3299_o ,\u_logic/_al_u3299_o }),
.c({\u_logic/_al_u3292_o ,\u_logic/_al_u3292_o }),
.d({\u_logic/_al_u3288_o ,\u_logic/_al_u3288_o }),
.mi({open_n125498,\u_logic/vis_pc_o[9] }),
.fx({open_n125503,\u_logic/Wskhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0011000100000001),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0011000100000001),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3343|u_logic/_al_u3348 (
.a({open_n125506,\u_logic/_al_u2501_o }),
.b({\u_logic/n6149_lutinv ,\u_logic/_al_u3347_o }),
.c({\u_logic/_al_u3294_o ,\u_logic/_al_u3288_o }),
.d({\u_logic/_al_u3293_o ,\u_logic/vis_pc_o[20] }),
.f({\u_logic/_al_u3343_o ,\u_logic/G7lhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("~(D@(~B*(~A*~(0)*~(C)+~A*0*~(C)+~(~A)*0*C+~A*0*C)))"),
//.LUTF1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("~(D@(~B*(~A*~(1)*~(C)+~A*1*~(C)+~(~A)*1*C+~A*1*C)))"),
//.LUTG1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUTF0(16'b0000000111111110),
.INIT_LUTF1(16'b0011000100000001),
.INIT_LUTG0(16'b0011000111001110),
.INIT_LUTG1(16'b0011000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3344|u_logic/_al_u3345 (
.a({\u_logic/_al_u2574_o ,\u_logic/_al_u2493_o }),
.b({\u_logic/_al_u3343_o ,\u_logic/_al_u3308_o }),
.c({\u_logic/_al_u3288_o ,\u_logic/_al_u3288_o }),
.d({\u_logic/vis_pc_o[23] ,\u_logic/Ab9ax6 }),
.e({open_n125533,\u_logic/vis_pc_o[18] }),
.f({\u_logic/Eblhu6 ,\u_logic/_al_u3345_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19805)
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(C*A*~(D@B))"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(C*A*~(D@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b1000000000100000),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b1000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3346|u_logic/Ux8bx6_reg (
.a({\u_logic/_al_u3342_o ,\u_logic/G7lhu6 }),
.b({\u_logic/Eblhu6 ,\u_logic/Qnkhu6 }),
.c({\u_logic/_al_u3345_o ,\u_logic/M4ebx6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/D99ax6 ,\u_logic/Tc9bx6 }),
.mi({open_n125557,\u_logic/Q44iu6 }),
.f({\u_logic/_al_u3346_o ,\u_logic/_al_u3350_o }),
.q({open_n125573,\u_logic/Ux8bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19805)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u334|u_logic/_al_u600 (
.a({\u_logic/N9now6_lutinv ,\u_logic/Mnqow6 }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r0_o[31] ,\u_logic/vis_r4_o[31] }),
.d({\u_logic/vis_r1_o[31] ,\u_logic/vis_r3_o[31] }),
.f({\u_logic/Pm3pw6 ,\u_logic/_al_u600_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1100000011110011),
.MODE("LOGIC"))
\u_logic/_al_u3351|u_logic/_al_u4265 (
.a({open_n125598,\u_logic/Iv1iu6 }),
.b({\u_logic/_al_u3288_o ,\u_logic/Ar1iu6 }),
.c({\u_logic/vis_pc_o[29] ,\u_logic/Chwpw6 }),
.d({\u_logic/_al_u2361_o ,\u_logic/vis_pc_o[15] }),
.f({\u_logic/Kx0iu6_lutinv ,\u_logic/_al_u4265_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19981)
EG_PHY_MSLICE #(
//.LUT0("~(D@(C*B*A))"),
//.LUT1("~(D@(A*~(C*B)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000001111111),
.INIT_LUT1(16'b0010101011010101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3352|u_logic/Tsdbx6_reg (
.a({\u_logic/Kx0iu6_lutinv ,\u_logic/G91iu6_lutinv }),
.b({\u_logic/_al_u3304_o ,\u_logic/n6129_lutinv }),
.c({\u_logic/_al_u3293_o ,\u_logic/_al_u3292_o }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/J59ax6 ,\u_logic/Lx9ax6 }),
.mi({open_n125629,\u_logic/B74iu6 }),
.f({\u_logic/_al_u3352_o ,\u_logic/_al_u3358_o }),
.q({open_n125634,\u_logic/Tsdbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19981)
EG_PHY_LSLICE #(
//.LUTF0("~(D@(~B*(~A*~(0)*~(C)+~A*0*~(C)+~(~A)*0*C+~A*0*C)))"),
//.LUTF1("(~D*A*~(C*~B))"),
//.LUTG0("~(D@(~B*(~A*~(1)*~(C)+~A*1*~(C)+~(~A)*1*C+~A*1*C)))"),
//.LUTG1("(~D*A*~(C*~B))"),
.INIT_LUTF0(16'b0000000111111110),
.INIT_LUTF1(16'b0000000010001010),
.INIT_LUTG0(16'b0011000111001110),
.INIT_LUTG1(16'b0000000010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3357|u_logic/_al_u3431 (
.a({\u_logic/_al_u3291_o ,\u_logic/_al_u2460_o }),
.b({\u_logic/_al_u3295_o ,\u_logic/_al_u3430_o }),
.c({\u_logic/Pkkbx6 ,\u_logic/_al_u3380_o }),
.d({\u_logic/T7bax6 ,\u_logic/Biaax6 }),
.e({open_n125637,\u_logic/vis_pc_o[11] }),
.f({\u_logic/n6129_lutinv ,\u_logic/_al_u3431_o }));
EG_PHY_MSLICE #(
//.LUT0("((~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D)*~(~C*~B))"),
//.LUT1("((~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D)*~(~C*~B))"),
.INIT_LUT0(16'b0000000001010100),
.INIT_LUT1(16'b1111110001010100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3359 (
.a({\u_logic/_al_u2489_o ,\u_logic/_al_u2489_o }),
.b({\u_logic/n6129_lutinv ,\u_logic/n6129_lutinv }),
.c({\u_logic/_al_u3292_o ,\u_logic/_al_u3292_o }),
.d({\u_logic/_al_u3288_o ,\u_logic/_al_u3288_o }),
.mi({open_n125670,\u_logic/vis_pc_o[17] }),
.fx({open_n125675,\u_logic/I3lhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u335|u_logic/_al_u599 (
.a({\u_logic/C7now6_lutinv ,\u_logic/Cpqow6 }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Voqow6 }),
.c({\u_logic/vis_r4_o[31] ,\u_logic/vis_r0_o[31] }),
.d({\u_logic/vis_r6_o[31] ,\u_logic/vis_r1_o[31] }),
.f({\u_logic/_al_u335_o ,\u_logic/Fpvow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(~C*~(B*~(D*~A)))"),
//.LUTG0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(~C*~(B*~(D*~A)))"),
.INIT_LUTF0(16'b0011000100000001),
.INIT_LUTF1(16'b0000011100000011),
.INIT_LUTG0(16'b0011000100000001),
.INIT_LUTG1(16'b0000011100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3360|u_logic/_al_u3331 (
.a({\u_logic/n6149_lutinv ,\u_logic/_al_u2505_o }),
.b({\u_logic/_al_u3291_o ,\u_logic/_al_u3330_o }),
.c({\u_logic/_al_u3292_o ,\u_logic/_al_u3288_o }),
.d({\u_logic/T7bax6 ,\u_logic/vis_pc_o[21] }),
.f({\u_logic/_al_u3360_o ,\u_logic/O8lhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(0@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUT1("(1@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
.INIT_LUT0(16'b0011000100000001),
.INIT_LUT1(16'b1100111011111110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3361 (
.a({\u_logic/_al_u2497_o ,\u_logic/_al_u2497_o }),
.b({\u_logic/_al_u3360_o ,\u_logic/_al_u3360_o }),
.c({\u_logic/_al_u3288_o ,\u_logic/_al_u3288_o }),
.d({\u_logic/vis_pc_o[19] ,\u_logic/vis_pc_o[19] }),
.mi({open_n125734,\u_logic/Fldbx6 }),
.fx({open_n125739,\u_logic/eq1/xor_i0[20]_i1[20]_o_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(19891)
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(~B*~(C@D))"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(~B*~(C@D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b0011000000000011),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b0011000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3362|u_logic/Nmabx6_reg (
.a({open_n125742,\u_logic/C4ihu6 }),
.b({\u_logic/eq1/xor_i0[20]_i1[20]_o_lutinv ,\u_logic/I9ihu6 }),
.c({\u_logic/Xc9ax6 ,\u_logic/Pe9bx6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/I3lhu6 ,\u_logic/Yjaax6 }),
.mi({open_n125746,\u_logic/L54iu6 }),
.f({\u_logic/_al_u3362_o ,\u_logic/_al_u3419_o }),
.q({open_n125762,\u_logic/Nmabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19891)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0011000100000001),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0011000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3363|u_logic/_al_u4280 (
.a({\u_logic/_al_u2509_o ,\u_logic/Vr1iu6 }),
.b({\u_logic/_al_u3293_o ,\u_logic/Ar1iu6 }),
.c({\u_logic/_al_u3288_o ,\u_logic/Btbbx6 }),
.d({\u_logic/vis_pc_o[22] ,\u_logic/Pbbbx6 }),
.f({\u_logic/W9lhu6 ,\u_logic/_al_u4280_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b1100010000000100),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1100010000000100),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3364|u_logic/_al_u3429 (
.a({open_n125787,\u_logic/_al_u2521_o }),
.b({\u_logic/n6149_lutinv ,\u_logic/Ntkbx6 [8]}),
.c({\u_logic/_al_u3294_o ,\u_logic/_al_u3380_o }),
.d({\u_logic/_al_u3293_o ,\u_logic/vis_pc_o[7] }),
.f({\u_logic/_al_u3364_o ,\u_logic/S6ihu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19962)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(~(C@B)*~(D@A))"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(~(C@B)*~(D@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000001001000001),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000001001000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3366|u_logic/Itcbx6_reg (
.a({\u_logic/W9lhu6 ,\u_logic/_al_u3358_o }),
.b({\u_logic/Gglhu6 ,\u_logic/_al_u3362_o }),
.c({\u_logic/Nfgax6 ,\u_logic/_al_u3366_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Zvgbx6 ,\u_logic/_al_u3368_o }),
.e({open_n125812,\u_logic/_al_u3369_o }),
.mi({open_n125814,\u_logic/Y84iu6 }),
.f({\u_logic/_al_u3366_o ,\u_logic/_al_u3370_o }),
.q({open_n125830,\u_logic/Itcbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19962)
// ../rtl/topmodule/cortexm0ds_logic.v(19977)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("~(D@(A*~(~C*B)))"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("~(D@(A*~(~C*B)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1010001001011101),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1010001001011101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3368|u_logic/Fldbx6_reg (
.a({\u_logic/Iw0iu6_lutinv ,\u_logic/_al_u3405_o }),
.b({\u_logic/_al_u3293_o ,\u_logic/_al_u3414_o }),
.c({\u_logic/_al_u3294_o ,\u_logic/_al_u3423_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Itcbx6 ,\u_logic/_al_u3432_o }),
.e({open_n125831,\u_logic/_al_u3442_o }),
.mi({open_n125833,\u_logic/B74iu6 }),
.f({\u_logic/_al_u3368_o ,\u_logic/_al_u3443_o }),
.q({open_n125849,\u_logic/Fldbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19977)
// ../rtl/topmodule/cortexm0ds_logic.v(17289)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~A*~(D*C))"),
//.LUTF1("(B*(0@~(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUTG0("~(~B*~A*~(D*C))"),
//.LUTG1("(B*(1@~(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111011101110),
.INIT_LUTF1(16'b0000100011001000),
.INIT_LUTG0(16'b1111111011101110),
.INIT_LUTG1(16'b1100010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3369|u_logic/R7kpw6_reg (
.a({\u_logic/_al_u2363_o ,\u_logic/_al_u1277_o }),
.b({\u_logic/_al_u3290_o ,\u_logic/_al_u1284_o }),
.c({\u_logic/_al_u3288_o ,\u_logic/E54iu6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[30] ,\u_logic/Wvgax6 }),
.e({\u_logic/Q2gax6 ,open_n125850}),
.f({\u_logic/_al_u3369_o ,HWDATA[13]}),
.q({open_n125869,\u_logic/R7kpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17289)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u336|u_logic/_al_u598 (
.a({\u_logic/V6now6_lutinv ,\u_logic/Eqqow6 }),
.b({\u_logic/Panow6_lutinv ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r2_o[31] ,\u_logic/vis_r5_o[31] }),
.d({\u_logic/vis_r3_o[31] ,\u_logic/vis_r7_o[31] }),
.f({\u_logic/_al_u336_o ,\u_logic/_al_u598_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(~C*A))"),
//.LUT1("(~D*~(C*~B))"),
.INIT_LUT0(16'b0011000111110101),
.INIT_LUT1(16'b0000000011001111),
.MODE("LOGIC"))
\u_logic/_al_u3371|u_logic/_al_u4508 (
.a({open_n125890,\u_logic/_al_u4450_o }),
.b({\u_logic/_al_u2961_o ,\u_logic/Q2eow6 }),
.c({\u_logic/S18iu6 ,\u_logic/Wmviu6 }),
.d({\u_logic/_al_u2713_o ,HRDATA[26]}),
.f({\u_logic/_al_u3371_o ,\u_logic/_al_u4508_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3372|u_logic/_al_u3020 (
.a({open_n125911,\u_logic/Yw1iu6 }),
.b({\u_logic/L2bax6 ,\u_logic/Bewiu6 }),
.c({\u_logic/Tyaax6 ,\u_logic/_al_u3017_o }),
.d({\u_logic/Fnpiu6 ,\u_logic/_al_u3018_o }),
.e({open_n125914,\u_logic/_al_u3019_o }),
.f({\u_logic/Dmpiu6_lutinv ,\u_logic/_al_u3020_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(0*D)*~(C*~B*~A))"),
//.LUT1("(~(1*D)*~(C*~B*~A))"),
.INIT_LUT0(16'b1110111111101111),
.INIT_LUT1(16'b0000000011101111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3374 (
.a({\u_logic/_al_u3371_o ,\u_logic/_al_u3371_o }),
.b({\u_logic/L18iu6 ,\u_logic/L18iu6 }),
.c({\u_logic/Dmpiu6_lutinv ,\u_logic/Dmpiu6_lutinv }),
.d({\u_logic/_al_u3373_o ,\u_logic/_al_u3373_o }),
.mi({open_n125947,\u_logic/_al_u3288_o }),
.fx({open_n125952,\u_logic/Ilpiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18219)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~0*B*(A*~(D)*~(C)+A*D*~(C)+~(A)*D*C+A*D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~1*B*(A*~(D)*~(C)+A*D*~(C)+~(A)*D*C+A*D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1100100000001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3376|u_logic/X5bax6_reg (
.a({\u_logic/Hz0iu6 ,\u_logic/Xs1iu6 }),
.b({\u_logic/Nvkbx6 [3],\u_logic/Ar1iu6 }),
.c({\u_logic/_al_u3288_o ,\u_logic/Asupw6 }),
.ce(\u_logic/n532 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[0] ,\u_logic/N3eax6 }),
.e({\u_logic/X5bax6 ,open_n125955}),
.mi({open_n125957,\u_logic/O34iu6 }),
.f({\u_logic/Chkhu6 ,\u_logic/_al_u3194_o }),
.q({open_n125973,\u_logic/X5bax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18219)
// ../rtl/topmodule/cortexm0ds_logic.v(18901)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u337|u_logic/Acuax6_reg (
.a({\u_logic/_al_u333_o ,\u_logic/_al_u351_o }),
.b({\u_logic/Pm3pw6 ,\u_logic/_al_u352_o }),
.c({\u_logic/_al_u335_o ,\u_logic/_al_u353_o }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u336_o ,\u_logic/Yvoow6 }),
.mi({open_n125984,\u_logic/Jgkiu6 }),
.f({\u_logic/R50iu6 ,\u_logic/K50iu6 }),
.q({open_n125989,\u_logic/vis_r4_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(18901)
// ../rtl/topmodule/cortexm0ds_logic.v(18154)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3380|u_logic/R19ax6_reg (
.c({\u_logic/Zx8ax6 ,\u_logic/eq1/xor_i0[1]_i1[1]_o_lutinv }),
.ce(\u_logic/Bs4iu6 ),
.clk(clk_pad),
.d({\u_logic/R19ax6 ,\u_logic/_al_u3375_o }),
.mi({open_n126004,\u_logic/O34iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3380_o ,\u_logic/_al_u3378_o }),
.q({open_n126008,\u_logic/R19ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18154)
EG_PHY_MSLICE #(
//.LUT0("(C*~(~B*~(D*A)))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1110000011000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3383|u_logic/_al_u3333 (
.a({open_n126009,\u_logic/n6149_lutinv }),
.b({open_n126010,\u_logic/_al_u3291_o }),
.c({\u_logic/Vibax6 ,\u_logic/_al_u3292_o }),
.d({\u_logic/_al_u3382_o ,\u_logic/_al_u3294_o }),
.f({\u_logic/_al_u3383_o ,\u_logic/Nvkbx6 [8]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~B*~D))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000011000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3384|u_logic/_al_u3436 (
.b({open_n126033,\u_logic/Hdbax6 }),
.c({\u_logic/Zgbax6 ,\u_logic/Zgbax6 }),
.d({\u_logic/_al_u3383_o ,\u_logic/Dfbax6 }),
.f({\u_logic/Ntkbx6 [7],\u_logic/_al_u3436_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3385|u_logic/_al_u2478 (
.a({open_n126054,\u_logic/_al_u2474_o }),
.b({open_n126055,\u_logic/_al_u2473_o }),
.c({\u_logic/Dfbax6 ,\u_logic/X44iu6 }),
.d({\u_logic/Ntkbx6 [7],\u_logic/Dm6bx6 }),
.f({\u_logic/Ntkbx6 [3],\u_logic/Emmiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*~(~C*D))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*~(~C*D))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1100000011001100),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1100000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3386|u_logic/_al_u3159 (
.a({open_n126076,\u_logic/Dw1iu6 }),
.b({\u_logic/Hdbax6 ,\u_logic/Iv1iu6 }),
.c({\u_logic/Tikbx6 ,\u_logic/vis_pc_o[10] }),
.d({\u_logic/_al_u3382_o ,\u_logic/N19bx6 }),
.f({\u_logic/_al_u3386_o ,\u_logic/_al_u3159_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3388|u_logic/_al_u3416 (
.b({open_n126103,\u_logic/Dfbax6 }),
.c({\u_logic/Zgbax6 ,\u_logic/Zgbax6 }),
.d({\u_logic/_al_u3382_o ,\u_logic/_al_u3383_o }),
.f({\u_logic/_al_u3388_o ,\u_logic/Ntkbx6 [11]}));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~C*B))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000011110011),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u3389|u_logic/_al_u3433 (
.b({open_n126126,\u_logic/n6121_lutinv }),
.c({\u_logic/_al_u3383_o ,\u_logic/Zgbax6 }),
.d({\u_logic/_al_u3388_o ,\u_logic/_al_u3383_o }),
.f({\u_logic/_al_u3389_o ,\u_logic/_al_u3433_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u338|u_logic/_al_u2624 (
.a({open_n126147,\u_logic/_al_u2474_o }),
.b({open_n126148,\u_logic/_al_u2473_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/Ym4iu6 }),
.d({\u_logic/R50iu6 ,\u_logic/Kn1qw6 }),
.f({\u_logic/Mifpw6 [31],\u_logic/Z0niu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~C*D))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~B*~(~C*D))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0011000000110011),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0011000000110011),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3390|u_logic/_al_u3308 (
.b({\u_logic/Dfbax6 ,\u_logic/_al_u3292_o }),
.c({\u_logic/Hdbax6 ,\u_logic/T7bax6 }),
.d({\u_logic/_al_u3382_o ,\u_logic/_al_u3291_o }),
.f({\u_logic/n6121_lutinv ,\u_logic/_al_u3308_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*~B))"),
//.LUT1("(~D*~(~C*B))"),
.INIT_LUT0(16'b1100111100000000),
.INIT_LUT1(16'b0000000011110011),
.MODE("LOGIC"))
\u_logic/_al_u3391|u_logic/_al_u3328 (
.b({\u_logic/_al_u3382_o ,\u_logic/n6149_lutinv }),
.c({\u_logic/Tikbx6 ,\u_logic/T7bax6 }),
.d({\u_logic/n6121_lutinv ,\u_logic/Nvkbx6 [7]}),
.f({\u_logic/_al_u3391_o ,\u_logic/Nvkbx6 [4]}));
EG_PHY_MSLICE #(
//.LUT0("((~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D)*~(C*B))"),
//.LUT1("((~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D)*~(C*B))"),
.INIT_LUT0(16'b0000000000010101),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3392 (
.a({\u_logic/_al_u2361_o ,\u_logic/_al_u2361_o }),
.b({\u_logic/_al_u3389_o ,\u_logic/_al_u3389_o }),
.c({\u_logic/_al_u3391_o ,\u_logic/_al_u3391_o }),
.d({\u_logic/_al_u3380_o ,\u_logic/_al_u3380_o }),
.mi({open_n126229,\u_logic/vis_pc_o[29] }),
.fx({open_n126234,\u_logic/Mzihu6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(D*~(C*~B))"),
.INIT_LUT0(16'b1100010000000100),
.INIT_LUT1(16'b1100111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3394|u_logic/_al_u3426 (
.a({open_n126237,\u_logic/_al_u2533_o }),
.b({\u_logic/n6123_lutinv ,\u_logic/Ntkbx6 [7]}),
.c({\u_logic/Dfbax6 ,\u_logic/_al_u3380_o }),
.d({\u_logic/Ntkbx6 [7],\u_logic/vis_pc_o[6] }),
.f({\u_logic/Ntkbx6 [4],\u_logic/K5ihu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18182)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("~(D@(B*(~A*~(0)*~(C)+~A*0*~(C)+~(~A)*0*C+~A*0*C)))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("~(D@(B*(~A*~(1)*~(C)+~A*1*~(C)+~(~A)*1*C+~A*1*C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000010011111011),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1100010000111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3395|u_logic/Naaax6_reg (
.a({\u_logic/_al_u2618_o ,\u_logic/Zt1iu6 }),
.b({\u_logic/Ntkbx6 [4],\u_logic/Iv1iu6 }),
.c({\u_logic/_al_u3380_o ,\u_logic/Naaax6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Jraax6 ,\u_logic/vis_pc_o[17] }),
.e({\u_logic/vis_pc_o[3] ,open_n126258}),
.mi({open_n126260,\u_logic/N64iu6 }),
.f({\u_logic/_al_u3395_o ,\u_logic/_al_u3146_o }),
.q({open_n126276,\u_logic/Naaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18182)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~C*B))"),
//.LUTG0("(D*~(~C*B))"),
.INIT_LUTF0(16'b1111001100000000),
.INIT_LUTG0(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3397 (
.b({open_n126279,\u_logic/n6123_lutinv }),
.c({open_n126280,\u_logic/Dfbax6 }),
.d({open_n126283,\u_logic/_al_u3389_o }),
.f({open_n126301,\u_logic/_al_u3397_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18829)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u339|u_logic/Wcqax6_reg (
.a({\u_logic/Panow6_lutinv ,\u_logic/_al_u327_o }),
.b({\u_logic/E8now6_lutinv ,\u_logic/_al_u328_o }),
.c({\u_logic/vis_r4_o[4] ,\u_logic/_al_u329_o }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[4] ,\u_logic/_al_u330_o }),
.mi({open_n126310,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u339_o ,\u_logic/U30iu6 }),
.q({open_n126326,\u_logic/vis_r2_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(18829)
// ../rtl/topmodule/cortexm0ds_logic.v(18167)
EG_PHY_LSLICE #(
//.LUTF0("(C*A*~(D@B))"),
//.LUTF1("(~(C@B)*~(D@A))"),
//.LUTG0("(C*A*~(D@B))"),
//.LUTG1("(~(C@B)*~(D@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000100000),
.INIT_LUTF1(16'b1000001001000001),
.INIT_LUTG0(16'b1000000000100000),
.INIT_LUTG1(16'b1000001001000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3400|u_logic/Oi9ax6_reg (
.a({\u_logic/Qrihu6 ,\u_logic/_al_u3427_o }),
.b({\u_logic/Wfihu6 ,\u_logic/S6ihu6 }),
.c({\u_logic/Heaax6 ,\u_logic/_al_u3431_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/T6aax6 ,\u_logic/Vlaax6 }),
.mi({open_n126330,\u_logic/E54iu6 }),
.f({\u_logic/_al_u3400_o ,\u_logic/_al_u3432_o }),
.q({open_n126346,\u_logic/Oi9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18167)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0011000100000001),
.MODE("LOGIC"))
\u_logic/_al_u3402|u_logic/_al_u4350 (
.a({\u_logic/_al_u2489_o ,\u_logic/Iv1iu6 }),
.b({\u_logic/_al_u3401_o ,\u_logic/Ar1iu6 }),
.c({\u_logic/_al_u3380_o ,\u_logic/vis_pc_o[12] }),
.d({\u_logic/vis_pc_o[17] ,\u_logic/Xpxax6 }),
.f({\u_logic/_al_u3402_o ,\u_logic/_al_u4350_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*(~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D))"),
//.LUTF1("(D*~(0@(A*~(C*~B))))"),
//.LUTG0("(~C*B*(~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D))"),
//.LUTG1("(D*~(1@(A*~(C*~B))))"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b0111010100000000),
.INIT_LUTG0(16'b0000110000000100),
.INIT_LUTG1(16'b1000101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3403|u_logic/_al_u3415 (
.a({\u_logic/_al_u3402_o ,\u_logic/_al_u2549_o }),
.b({\u_logic/_al_u3383_o ,\u_logic/Ntkbx6 [7]}),
.c({\u_logic/_al_u3386_o ,\u_logic/_al_u3391_o }),
.d({\u_logic/_al_u3382_o ,\u_logic/_al_u3380_o }),
.e({\u_logic/Naaax6 ,\u_logic/vis_pc_o[5] }),
.f({\u_logic/_al_u3403_o ,\u_logic/C4ihu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17226)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~A*~(D*C))"),
//.LUTF1("(D@(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))"),
//.LUTG0("~(~B*~A*~(D*C))"),
//.LUTG1("(D@(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111011101110),
.INIT_LUTF1(16'b0010111011010001),
.INIT_LUTG0(16'b1111111011101110),
.INIT_LUTG1(16'b0010111011010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3404|u_logic/Tyipw6_reg (
.a({\u_logic/_al_u2363_o ,\u_logic/_al_u1269_o }),
.b({\u_logic/_al_u3380_o ,\u_logic/_al_u1275_o }),
.c({\u_logic/vis_pc_o[30] ,\u_logic/X44iu6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/N4gax6 ,\u_logic/Wvgax6 }),
.f({\u_logic/eq0/xor_i0[31]_i1[31]_o_lutinv ,HWDATA[12]}),
.q({open_n126409,\u_logic/Tyipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17226)
EG_PHY_MSLICE #(
//.LUT0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
.INIT_LUT0(16'b0011000100000001),
.INIT_LUT1(16'b1100000011110011),
.MODE("LOGIC"))
\u_logic/_al_u3406|u_logic/_al_u3444 (
.a({open_n126410,\u_logic/_al_u2485_o }),
.b({\u_logic/_al_u3380_o ,\u_logic/_al_u3401_o }),
.c({\u_logic/vis_pc_o[22] ,\u_logic/_al_u3380_o }),
.d({\u_logic/_al_u2509_o ,\u_logic/vis_pc_o[16] }),
.f({\u_logic/F51iu6_lutinv ,\u_logic/_al_u3444_o }));
EG_PHY_MSLICE #(
//.LUT0("~(D@(B*(~A*~(0)*~(C)+~A*0*~(C)+~(~A)*0*C+~A*0*C)))"),
//.LUT1("~(D@(B*(~A*~(1)*~(C)+~A*1*~(C)+~(~A)*1*C+~A*1*C)))"),
.INIT_LUT0(16'b0000010011111011),
.INIT_LUT1(16'b1100010000111011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3408 (
.a({\u_logic/_al_u2537_o ,\u_logic/_al_u2537_o }),
.b({\u_logic/Ntkbx6 [13],\u_logic/Ntkbx6 [13]}),
.c({\u_logic/_al_u3380_o ,\u_logic/_al_u3380_o }),
.d({\u_logic/Egaax6 ,\u_logic/Egaax6 }),
.mi({open_n126443,\u_logic/vis_pc_o[12] }),
.fx({open_n126448,\u_logic/_al_u3408_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(B*~(D@(~C*A)))"),
.INIT_LUT0(16'b0011000100000001),
.INIT_LUT1(16'b0000100011000100),
.MODE("LOGIC"))
\u_logic/_al_u3409|u_logic/_al_u3434 (
.a({\u_logic/F51iu6_lutinv ,\u_logic/_al_u2501_o }),
.b({\u_logic/_al_u3408_o ,\u_logic/_al_u3433_o }),
.c({\u_logic/_al_u3389_o ,\u_logic/_al_u3380_o }),
.d({\u_logic/Wxgbx6 ,\u_logic/vis_pc_o[20] }),
.f({\u_logic/_al_u3409_o ,\u_logic/Snihu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18896)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u340|u_logic/C2uax6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/_al_u369_o }),
.b({\u_logic/U9now6_lutinv ,\u_logic/_al_u370_o }),
.c({\u_logic/vis_r6_o[4] ,\u_logic/_al_u371_o }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[4] ,\u_logic/_al_u372_o }),
.mi({open_n126474,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u340_o ,\u_logic/I40iu6 }),
.q({open_n126490,\u_logic/vis_r4_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18896)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~C*D))"),
//.LUTF1("(D*~(~C*B))"),
//.LUTG0("(~B*~(~C*D))"),
//.LUTG1("(D*~(~C*B))"),
.INIT_LUTF0(16'b0011000000110011),
.INIT_LUTF1(16'b1111001100000000),
.INIT_LUTG0(16'b0011000000110011),
.INIT_LUTG1(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3411|u_logic/_al_u3401 (
.b({\u_logic/_al_u3391_o ,\u_logic/_al_u3383_o }),
.c({\u_logic/_al_u3383_o ,\u_logic/Dfbax6 }),
.d({\u_logic/_al_u3410_o ,\u_logic/_al_u3388_o }),
.f({\u_logic/Apihu6 ,\u_logic/_al_u3401_o }));
EG_PHY_MSLICE #(
//.LUT0("~(D@(~B*(~A*~(0)*~(C)+~A*0*~(C)+~(~A)*0*C+~A*0*C)))"),
//.LUT1("~(D@(~B*(~A*~(1)*~(C)+~A*1*~(C)+~(~A)*1*C+~A*1*C)))"),
.INIT_LUT0(16'b0000000111111110),
.INIT_LUT1(16'b0011000111001110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3413 (
.a({\u_logic/_al_u2497_o ,\u_logic/_al_u2497_o }),
.b({\u_logic/_al_u3412_o ,\u_logic/_al_u3412_o }),
.c({\u_logic/_al_u3380_o ,\u_logic/_al_u3380_o }),
.d({\u_logic/Cndbx6 ,\u_logic/Cndbx6 }),
.mi({open_n126529,\u_logic/vis_pc_o[19] }),
.fx({open_n126534,\u_logic/_al_u3413_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(C*A*~(D@B))"),
//.LUTG0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(C*A*~(D@B))"),
.INIT_LUTF0(16'b1100010000000100),
.INIT_LUTF1(16'b1000000000100000),
.INIT_LUTG0(16'b1100010000000100),
.INIT_LUTG1(16'b1000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3414|u_logic/_al_u3354 (
.a({\u_logic/_al_u3409_o ,\u_logic/_al_u2537_o }),
.b({\u_logic/Apihu6 ,\u_logic/Nvkbx6 [13]}),
.c({\u_logic/_al_u3413_o ,\u_logic/_al_u3288_o }),
.d({\u_logic/Qlfbx6 ,\u_logic/vis_pc_o[12] }),
.f({\u_logic/_al_u3414_o ,\u_logic/Uwkhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~C*~D))"),
//.LUTF1("(D*~(C*~B))"),
//.LUTG0("(B*~(~C*~D))"),
//.LUTG1("(D*~(C*~B))"),
.INIT_LUTF0(16'b1100110011000000),
.INIT_LUTF1(16'b1100111100000000),
.INIT_LUTG0(16'b1100110011000000),
.INIT_LUTG1(16'b1100111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3417|u_logic/_al_u3407 (
.b({\u_logic/_al_u3388_o ,\u_logic/_al_u3383_o }),
.c({\u_logic/_al_u3386_o ,\u_logic/n6121_lutinv }),
.d({\u_logic/Ntkbx6 [11],\u_logic/_al_u3388_o }),
.f({\u_logic/Ntkbx6 [10],\u_logic/Ntkbx6 [13]}));
EG_PHY_LSLICE #(
//.LUTF0("~(D@(B*(~A*~(0)*~(C)+~A*0*~(C)+~(~A)*0*C+~A*0*C)))"),
//.LUTF1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("~(D@(B*(~A*~(1)*~(C)+~A*1*~(C)+~(~A)*1*C+~A*1*C)))"),
//.LUTG1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUTF0(16'b0000010011111011),
.INIT_LUTF1(16'b1100010000000100),
.INIT_LUTG0(16'b1100010000111011),
.INIT_LUTG1(16'b1100010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3418|u_logic/_al_u3458 (
.a({\u_logic/_al_u2525_o ,\u_logic/_al_u2541_o }),
.b({\u_logic/Ntkbx6 [10],\u_logic/Ntkbx6 [14]}),
.c({\u_logic/_al_u3380_o ,\u_logic/_al_u3380_o }),
.d({\u_logic/vis_pc_o[9] ,\u_logic/Nmabx6 }),
.e({open_n126589,\u_logic/vis_pc_o[13] }),
.f({\u_logic/I9ihu6 ,\u_logic/_al_u3458_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19717)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u341|u_logic/Og5bx6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/_al_u490_o }),
.b({\u_logic/V6now6_lutinv ,\u_logic/_al_u491_o }),
.c({\u_logic/vis_r2_o[4] ,\u_logic/_al_u492_o }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[4] ,\u_logic/_al_u493_o }),
.mi({open_n126620,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u341_o ,\u_logic/Svzhu6 }),
.q({open_n126625,\u_logic/vis_r3_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(19717)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(~D*~(~C*~B))"),
//.LUTG0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(~D*~(~C*~B))"),
.INIT_LUTF0(16'b0011000100000001),
.INIT_LUTF1(16'b0000000011111100),
.INIT_LUTG0(16'b0011000100000001),
.INIT_LUTG1(16'b0000000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3421|u_logic/_al_u3410 (
.a({open_n126626,\u_logic/_al_u2505_o }),
.b({\u_logic/_al_u3383_o ,\u_logic/_al_u3389_o }),
.c({\u_logic/n6123_lutinv ,\u_logic/_al_u3380_o }),
.d({\u_logic/_al_u3401_o ,\u_logic/vis_pc_o[21] }),
.f({\u_logic/Ntkbx6 [16],\u_logic/_al_u3410_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUTF0(16'b1100010000000100),
.INIT_LUTF1(16'b1100010000000100),
.INIT_LUTG0(16'b1100010000000100),
.INIT_LUTG1(16'b1100010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3422|u_logic/_al_u3399 (
.a({\u_logic/_al_u2481_o ,\u_logic/_al_u2545_o }),
.b({\u_logic/Ntkbx6 [16],\u_logic/_al_u3383_o }),
.c({\u_logic/_al_u3380_o ,\u_logic/_al_u3380_o }),
.d({\u_logic/vis_pc_o[15] ,\u_logic/vis_pc_o[14] }),
.f({\u_logic/Ehihu6 ,\u_logic/Wfihu6 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D@C)*~(0@B))"),
//.LUT1("(A*~(D@C)*~(1@B))"),
.INIT_LUT0(16'b0010000000000010),
.INIT_LUT1(16'b1000000000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3423 (
.a({\u_logic/_al_u3419_o ,\u_logic/_al_u3419_o }),
.b({\u_logic/Qaihu6 ,\u_logic/Qaihu6 }),
.c({\u_logic/Ehihu6 ,\u_logic/Ehihu6 }),
.d({\u_logic/Kcaax6 ,\u_logic/Kcaax6 }),
.mi({open_n126687,\u_logic/Ux8bx6 }),
.fx({open_n126692,\u_logic/_al_u3423_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(D*~(~C*B))"),
.INIT_LUT0(16'b0011000100000001),
.INIT_LUT1(16'b1111001100000000),
.MODE("LOGIC"))
\u_logic/_al_u3424|u_logic/_al_u3313 (
.a({open_n126695,\u_logic/_al_u2481_o }),
.b({\u_logic/_al_u3382_o ,\u_logic/_al_u3312_o }),
.c({\u_logic/Dfbax6 ,\u_logic/_al_u3288_o }),
.d({\u_logic/_al_u3389_o ,\u_logic/vis_pc_o[15] }),
.f({\u_logic/_al_u3424_o ,\u_logic/S0lhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUTF0(16'b0011000100000001),
.INIT_LUTF1(16'b0011000100000001),
.INIT_LUTG0(16'b0011000100000001),
.INIT_LUTG1(16'b0011000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3425|u_logic/_al_u3454 (
.a({\u_logic/_al_u2517_o ,\u_logic/_al_u2513_o }),
.b({\u_logic/_al_u3424_o ,\u_logic/_al_u3424_o }),
.c({\u_logic/_al_u3380_o ,\u_logic/_al_u3380_o }),
.d({\u_logic/vis_pc_o[26] ,\u_logic/vis_pc_o[25] }),
.f({\u_logic/Ovihu6 ,\u_logic/_al_u3454_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19938)
EG_PHY_LSLICE #(
//.LUTF0("(D@(A*~(C*B)))"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(D@(A*~(C*B)))"),
//.LUTG1("(~(D@B)*~(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101010100101010),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b1101010100101010),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3427|u_logic/Btbbx6_reg (
.a({\u_logic/Ovihu6 ,\u_logic/Ww0iu6_lutinv }),
.b({\u_logic/K5ihu6 ,\u_logic/_al_u3293_o }),
.c({\u_logic/Fvcbx6 ,\u_logic/_al_u3296_o }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Rnaax6 ,\u_logic/Wahbx6 }),
.mi({open_n126743,\u_logic/G64iu6 }),
.f({\u_logic/_al_u3427_o ,\u_logic/eq1/xor_i0[29]_i1[29]_o_lutinv }),
.q({open_n126759,\u_logic/Btbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19938)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u342|u_logic/_al_u490 (
.a({\u_logic/Wanow6_lutinv ,\u_logic/Eqqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r5_o[4] ,\u_logic/vis_r5_o[7] }),
.d({\u_logic/vis_r7_o[4] ,\u_logic/vis_r7_o[7] }),
.f({\u_logic/_al_u342_o ,\u_logic/_al_u490_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~C*~D))"),
//.LUT1("(~D*~(~C*B))"),
.INIT_LUT0(16'b0011001100110000),
.INIT_LUT1(16'b0000000011110011),
.MODE("LOGIC"))
\u_logic/_al_u3430|u_logic/_al_u3347 (
.b({\u_logic/n6123_lutinv ,\u_logic/_al_u3292_o }),
.c({\u_logic/Vibax6 ,\u_logic/P9bax6 }),
.d({\u_logic/Ntkbx6 [11],\u_logic/_al_u3296_o }),
.f({\u_logic/_al_u3430_o ,\u_logic/_al_u3347_o }));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~B*A*~(~D*C))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~B*A*~(~D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0010001000000010),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0010001000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3437|RAMDATA_Interface/reg0_b1 (
.a({\u_logic/_al_u3383_o ,open_n126802}),
.b({\u_logic/_al_u3436_o ,open_n126803}),
.c({\u_logic/_al_u3380_o ,\RAMDATA_Interface/wr_en_reg }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[8] ,\RAMDATA_Interface/size_reg [3]}),
.mi({open_n126807,HADDR[3]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3437_o ,RAMDATA_WRITE[3]}),
.q({open_n126822,RAMDATA_WADDR[1]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(D*~(~0*A*~(C*B)))"),
//.LUT1("(D*~(~1*A*~(C*B)))"),
.INIT_LUT0(16'b1101010100000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3438 (
.a({\u_logic/_al_u2622_o ,\u_logic/_al_u2622_o }),
.b({\u_logic/_al_u2301_o ,\u_logic/_al_u2301_o }),
.c({\u_logic/_al_u888_o ,\u_logic/_al_u888_o }),
.d({\u_logic/_al_u3437_o ,\u_logic/_al_u3437_o }),
.mi({open_n126835,\u_logic/_al_u3380_o }),
.fx({open_n126840,\u_logic/A8ihu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D@B)*~(C@A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000010000100001),
.MODE("LOGIC"))
\u_logic/_al_u3439|u_logic/_al_u3115 (
.a({\u_logic/E0ihu6 ,\u_logic/Iv1iu6 }),
.b({\u_logic/A8ihu6 ,\u_logic/Ar1iu6 }),
.c({\u_logic/Ftaax6 ,\u_logic/vis_pc_o[20] }),
.d({\u_logic/J5jbx6 ,\u_logic/M2ebx6 }),
.f({\u_logic/_al_u3439_o ,\u_logic/_al_u3115_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b1100010000000100),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b1100010000000100),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3440|u_logic/_al_u3420 (
.a({open_n126863,\u_logic/_al_u2529_o }),
.b({\u_logic/_al_u3383_o ,\u_logic/Ntkbx6 [11]}),
.c({\u_logic/n6121_lutinv ,\u_logic/_al_u3380_o }),
.d({\u_logic/_al_u3388_o ,\u_logic/vis_pc_o[10] }),
.f({\u_logic/_al_u3440_o ,\u_logic/Qaihu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*~(D@A))"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1000000001000000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
\u_logic/_al_u3442 (
.a({open_n126888,\u_logic/Snihu6 }),
.b({open_n126889,\u_logic/_al_u3439_o }),
.c({open_n126890,\u_logic/_al_u3441_o }),
.d({open_n126893,\u_logic/J6ebx6 }),
.f({open_n126907,\u_logic/_al_u3442_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(D*~(C*~B))"),
//.LUTG0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(D*~(C*~B))"),
.INIT_LUTF0(16'b0011000100000001),
.INIT_LUTF1(16'b1100111100000000),
.INIT_LUTG0(16'b0011000100000001),
.INIT_LUTG1(16'b1100111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3445|u_logic/_al_u3456 (
.a({open_n126913,\u_logic/_al_u2493_o }),
.b({\u_logic/_al_u3383_o ,\u_logic/_al_u3401_o }),
.c({\u_logic/Hdbax6 ,\u_logic/_al_u3380_o }),
.d({\u_logic/_al_u3444_o ,\u_logic/vis_pc_o[18] }),
.f({\u_logic/Miihu6 ,\u_logic/Clihu6 }));
EG_PHY_MSLICE #(
//.LUT0("((~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D)*~(~C*B))"),
//.LUT1("((~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D)*~(~C*B))"),
.INIT_LUT0(16'b0000000001010001),
.INIT_LUT1(16'b1111001101010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3446 (
.a({\u_logic/_al_u2589_o ,\u_logic/_al_u2589_o }),
.b({\u_logic/_al_u3424_o ,\u_logic/_al_u3424_o }),
.c({\u_logic/n6123_lutinv ,\u_logic/n6123_lutinv }),
.d({\u_logic/_al_u3380_o ,\u_logic/_al_u3380_o }),
.mi({open_n126950,\u_logic/vis_pc_o[27] }),
.fx({open_n126955,\u_logic/Wwihu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C@B)*~(D@A))"),
//.LUTG0("(~(C@B)*~(D@A))"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1000001001000001),
.INIT_LUTG0(16'b1000001001000001),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
\u_logic/_al_u3447 (
.a({open_n126958,\u_logic/Miihu6 }),
.b({open_n126959,\u_logic/Wwihu6 }),
.c({open_n126960,\u_logic/Khgax6 }),
.d({open_n126963,\u_logic/Nlbbx6 }),
.f({open_n126981,\u_logic/_al_u3447_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*(~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D))"),
//.LUT1("(C*B*(~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D))"),
.INIT_LUT0(16'b0000000001000000),
.INIT_LUT1(16'b1100000001000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3448 (
.a({\u_logic/_al_u2607_o ,\u_logic/_al_u2607_o }),
.b({\u_logic/Ntkbx6 [7],\u_logic/Ntkbx6 [7]}),
.c({\u_logic/n6121_lutinv ,\u_logic/n6121_lutinv }),
.d({\u_logic/_al_u3380_o ,\u_logic/_al_u3380_o }),
.mi({open_n126999,\u_logic/vis_pc_o[4] }),
.fx({open_n127004,\u_logic/U2ihu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u344|u_logic/_al_u4524 (
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u4454_o }),
.d({\u_logic/D50iu6 ,\u_logic/Hv3ju6_lutinv }),
.f({\u_logic/Mifpw6 [4],\u_logic/Qtfow6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(19935)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("~(0@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("~(1@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b1100111011111110),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0011000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3450|u_logic/Knbbx6_reg (
.a({\u_logic/_al_u2583_o ,\u_logic/_al_u4444_o }),
.b({\u_logic/_al_u3449_o ,\u_logic/Cs1iu6 }),
.c({\u_logic/_al_u3380_o ,\u_logic/Ar1iu6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[24] ,\u_logic/Tzgbx6 }),
.e({\u_logic/W4aax6 ,\u_logic/Ztgbx6 }),
.mi({open_n127036,\u_logic/G64iu6 }),
.f({\u_logic/_al_u3450_o ,\u_logic/_al_u4445_o }),
.q({open_n127052,\u_logic/Knbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19935)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUTF1("(C*A*~(D@B))"),
//.LUTG0("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUTG1("(C*A*~(D@B))"),
.INIT_LUTF0(16'b1100000011110011),
.INIT_LUTF1(16'b1000000000100000),
.INIT_LUTG0(16'b1100000011110011),
.INIT_LUTG1(16'b1000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3451|u_logic/_al_u3289 (
.a({\u_logic/_al_u3447_o ,open_n127053}),
.b({\u_logic/U2ihu6 ,\u_logic/_al_u3288_o }),
.c({\u_logic/_al_u3450_o ,\u_logic/vis_pc_o[28] }),
.d({\u_logic/Npaax6 ,\u_logic/_al_u2359_o }),
.f({\u_logic/_al_u3451_o ,\u_logic/Ww0iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("~(0@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("~(1@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b1100111011111110),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0011000100000001),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3452|u_logic/_al_u3441 (
.a({open_n127078,\u_logic/_al_u2359_o }),
.b({\u_logic/n6123_lutinv ,\u_logic/_al_u3440_o }),
.c({\u_logic/_al_u3380_o ,\u_logic/_al_u3380_o }),
.d({\u_logic/Ntkbx6 [3],\u_logic/vis_pc_o[28] }),
.e({open_n127081,\u_logic/Tchbx6 }),
.f({\u_logic/_al_u3452_o ,\u_logic/_al_u3441_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(D@(A*~(C*B)))"),
//.LUTG0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(D@(A*~(C*B)))"),
.INIT_LUTF0(16'b0011000100000001),
.INIT_LUTF1(16'b1101010100101010),
.INIT_LUTG0(16'b0011000100000001),
.INIT_LUTG1(16'b1101010100101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3455|u_logic/_al_u3365 (
.a({\u_logic/_al_u3454_o ,\u_logic/_al_u2589_o }),
.b({\u_logic/_al_u3389_o ,\u_logic/_al_u3364_o }),
.c({\u_logic/_al_u3386_o ,\u_logic/_al_u3288_o }),
.d({\u_logic/Cccbx6 ,\u_logic/vis_pc_o[27] }),
.f({\u_logic/eq0/xor_i0[26]_i1[26]_o_lutinv ,\u_logic/Gglhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(A*~(D*~C)))"),
//.LUT1("(C*~(~B*D))"),
.INIT_LUT0(16'b0001001100010001),
.INIT_LUT1(16'b1100000011110000),
.MODE("LOGIC"))
\u_logic/_al_u3457|u_logic/_al_u3412 (
.a({open_n127126,\u_logic/_al_u3388_o }),
.b({\u_logic/_al_u3388_o ,\u_logic/_al_u3383_o }),
.c({\u_logic/_al_u3383_o ,\u_logic/n6123_lutinv }),
.d({\u_logic/_al_u3391_o ,\u_logic/Dfbax6 }),
.f({\u_logic/Ntkbx6 [14],\u_logic/_al_u3412_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUTF1("(C*~A*~(D@B))"),
//.LUTG0("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUTG1("(C*~A*~(D@B))"),
.INIT_LUTF0(16'b1100000011110011),
.INIT_LUTF1(16'b0100000000010000),
.INIT_LUTG0(16'b1100000011110011),
.INIT_LUTG1(16'b0100000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3459|u_logic/_al_u3367 (
.a({\u_logic/eq0/xor_i0[26]_i1[26]_o_lutinv ,open_n127147}),
.b({\u_logic/Clihu6 ,\u_logic/_al_u3288_o }),
.c({\u_logic/_al_u3458_o ,\u_logic/vis_pc_o[26] }),
.d({\u_logic/Q8aax6 ,\u_logic/_al_u2517_o }),
.f({\u_logic/_al_u3459_o ,\u_logic/Iw0iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3463|u_logic/_al_u3642 (
.a({open_n127172,\u_logic/_al_u2363_o }),
.b({\u_logic/R19ax6 ,\u_logic/L18iu6 }),
.c({\u_logic/Zx8ax6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/Fnpiu6 ,\u_logic/Gr2qw6 }),
.e({open_n127175,\u_logic/H4bax6 }),
.f({\u_logic/Qipiu6_lutinv ,\u_logic/_al_u3642_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(0*D)*~(C*~B*~A))"),
//.LUT1("(~(1*D)*~(C*~B*~A))"),
.INIT_LUT0(16'b1110111111101111),
.INIT_LUT1(16'b0000000011101111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3464 (
.a({\u_logic/_al_u3371_o ,\u_logic/_al_u3371_o }),
.b({\u_logic/L18iu6 ,\u_logic/L18iu6 }),
.c({\u_logic/Qipiu6_lutinv ,\u_logic/Qipiu6_lutinv }),
.d({\u_logic/_al_u3373_o ,\u_logic/_al_u3373_o }),
.mi({open_n127208,\u_logic/_al_u3380_o }),
.fx({open_n127213,\u_logic/Vhpiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18160)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3465|u_logic/J59ax6_reg (
.a({\u_logic/_al_u3443_o ,\u_logic/Zt1iu6 }),
.b({\u_logic/_al_u3460_o ,\u_logic/Iv1iu6 }),
.c({\u_logic/_al_u3462_o ,\u_logic/vis_pc_o[30] }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Vhpiu6 ,\u_logic/N4gax6 }),
.mi({open_n127219,\u_logic/T94iu6 }),
.f({\u_logic/_al_u3465_o ,\u_logic/_al_u2994_o }),
.q({open_n127235,\u_logic/J59ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18160)
// ../rtl/topmodule/cortexm0ds_logic.v(18194)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(0*D*~C*B*~A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(1*D*~C*B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3467|u_logic/Xwaax6_reg (
.a({\u_logic/J0gax6 ,open_n127236}),
.b({\u_logic/Nrqpw6 ,open_n127237}),
.c({\u_logic/Sqfax6 ,\u_logic/M8ipw6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Tb3qw6 ,\u_logic/Iqzhu6_lutinv }),
.e({\u_logic/Uofax6 ,open_n127238}),
.f({\u_logic/_al_u3467_o ,\u_logic/O34iu6 }),
.q({open_n127257,\u_logic/Xwaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18194)
// ../rtl/topmodule/cortexm0ds_logic.v(18284)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3483|u_logic/Efdax6_reg (
.a({\u_logic/Zt1iu6 ,\u_logic/_al_u4264_o }),
.b({\u_logic/Vr1iu6 ,\u_logic/_al_u4266_o }),
.c({\u_logic/D1aax6 ,\u_logic/St1iu6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Efdax6 ,HRDATA[16]}),
.mi({open_n127261,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3483_o ,\u_logic/_al_u4267_o }),
.q({open_n127276,\u_logic/Efdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18284)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0010101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3485|u_logic/_al_u3079 (
.a({\u_logic/Cs1iu6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/Fgpiu6 ,\u_logic/Yw1iu6 }),
.c({\u_logic/Ljcax6 ,\u_logic/_al_u3073_o }),
.d({\u_logic/Lmkbx6 ,\u_logic/_al_u3078_o }),
.f({\u_logic/_al_u3485_o ,\u_logic/_al_u3079_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\u_logic/_al_u3487|u_logic/_al_u3247 (
.a({\u_logic/_al_u3484_o ,\u_logic/Xs1iu6 }),
.b({\u_logic/_al_u3485_o ,\u_logic/Fgpiu6 }),
.c({\u_logic/K0qiu6_lutinv ,\u_logic/B9eax6 }),
.d({\u_logic/Q3qiu6 ,\u_logic/Thiax6 }),
.f({\u_logic/_al_u3487_o ,\u_logic/_al_u3247_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17446)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u348|u_logic/Gxmpw6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/V6now6_lutinv }),
.b({\u_logic/Panow6_lutinv ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r2_o[2] ,\u_logic/vis_r5_o[1] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[2] ,\u_logic/vis_r2_o[1] }),
.mi({open_n127324,\u_logic/Jgkiu6 }),
.f({\u_logic/_al_u348_o ,\u_logic/_al_u252_o }),
.q({open_n127340,\u_logic/vis_r0_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(17446)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3490 (
.a({open_n127341,\u_logic/Ve7iu6 }),
.b({open_n127342,\u_logic/Ws4iu6_lutinv }),
.c({open_n127343,\u_logic/H4bax6 }),
.d({open_n127346,\u_logic/Zx8ax6 }),
.f({open_n127364,\u_logic/_al_u3490_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18262)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3491|u_logic/Ljcax6_reg (
.a({\u_logic/_al_u3490_o ,\u_logic/_al_u3267_o }),
.b({\u_logic/Sg7iu6 ,\u_logic/_al_u3270_o }),
.c({\u_logic/Eg7iu6 ,\u_logic/_al_u3271_o }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Pkkbx6 ,\u_logic/_al_u3273_o }),
.e({\u_logic/Tyaax6 ,open_n127370}),
.mi({open_n127372,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3491_o ,\u_logic/_al_u3274_o }),
.q({open_n127387,\u_logic/Ljcax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18262)
EG_PHY_MSLICE #(
//.LUT0("(~0*D*C*~B*A)"),
//.LUT1("(~1*D*C*~B*A)"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3493 (
.a({\u_logic/Nkwiu6 ,\u_logic/Nkwiu6 }),
.b({\u_logic/D43qw6 ,\u_logic/D43qw6 }),
.c({\u_logic/Di3qw6 ,\u_logic/Di3qw6 }),
.d({\u_logic/Le2qw6 ,\u_logic/Le2qw6 }),
.mi({open_n127400,\u_logic/Pe7ax6 }),
.fx({open_n127405,\u_logic/_al_u3493_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~(0*A))"),
//.LUT1("(~D*~C*~B*~(1*A))"),
.INIT_LUT0(16'b0000000000000011),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3494 (
.a({\u_logic/St1iu6 ,\u_logic/St1iu6 }),
.b({\u_logic/_al_u3492_o ,\u_logic/_al_u3492_o }),
.c({\u_logic/_al_u3224_o ,\u_logic/_al_u3224_o }),
.d({\u_logic/_al_u3493_o ,\u_logic/_al_u3493_o }),
.mi({open_n127420,HRDATA[0]}),
.fx({open_n127425,\u_logic/_al_u3494_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTF1("(~D*~C*~(~B*~A))"),
//.LUTG0("(D*C*~B*A)"),
//.LUTG1("(~D*~C*~(~B*~A))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0000000000001110),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b0000000000001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3495|u_logic/_al_u636 (
.a({\u_logic/Ixriu6 ,\u_logic/Ymwiu6 }),
.b({\u_logic/_al_u3200_o ,\u_logic/Hw8ax6 }),
.c({\u_logic/D43qw6 ,\u_logic/Sqwpw6 }),
.d({\u_logic/Di3qw6 ,\u_logic/Zm8ax6 }),
.f({\u_logic/_al_u3495_o ,\u_logic/Fgpiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19979)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*~A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3496|u_logic/Zodbx6_reg (
.a({\u_logic/_al_u3495_o ,open_n127452}),
.b({\u_logic/_al_u3261_o ,open_n127453}),
.c({\u_logic/_al_u3108_o ,\u_logic/Ahdbx6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/N39ax6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u3496_o ,\u_logic/B74iu6 }),
.q({open_n127474,\u_logic/Zodbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19979)
// ../rtl/topmodule/cortexm0ds_logic.v(18270)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3497|u_logic/Xqcax6_reg (
.a({\u_logic/_al_u3489_o ,open_n127475}),
.b({\u_logic/_al_u3491_o ,open_n127476}),
.c({\u_logic/_al_u3494_o ,\u_logic/Jvkpw6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/_al_u3496_o ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u3497_o ,\u_logic/D84iu6 }),
.q({open_n127493,\u_logic/Xqcax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18270)
// ../rtl/topmodule/cortexm0ds_logic.v(17803)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3498|u_logic/C3wpw6_reg (
.a({\u_logic/F0eow6 ,HWDATA[0]}),
.b({\u_logic/M0eow6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/C3wpw6 ,\u_logic/C3wpw6 }),
.clk(clk_pad),
.d({\u_logic/U31bx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3498_o ,open_n127507}),
.q({open_n127511,\u_logic/C3wpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17803)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3499|u_logic/_al_u3234 (
.a({open_n127512,\u_logic/Yvgiu6 }),
.b({\u_logic/M6eiu6 ,\u_logic/_al_u1942_o }),
.c({\u_logic/M6rpw6 ,\u_logic/vis_ipsr_o[5] }),
.d({\u_logic/_al_u3498_o ,\u_logic/Y7opw6 }),
.f({\u_logic/_al_u3499_o ,\u_logic/_al_u3234_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3500|u_logic/_al_u3284 (
.a({\u_logic/Y5eiu6 ,\u_logic/F0eow6 }),
.b({\u_logic/Yvgiu6 ,\u_logic/M0eow6 }),
.c({\u_logic/N8rpw6 ,\u_logic/L1bbx6 }),
.d({\u_logic/Zszax6 ,\u_logic/N5bbx6 }),
.f({\u_logic/G4eow6 ,\u_logic/_al_u3284_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18104)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3501|u_logic/Lp7ax6_reg (
.a({\u_logic/_al_u3499_o ,open_n127561}),
.b({\u_logic/G4eow6 ,open_n127562}),
.c({\u_logic/_al_u1942_o ,\u_logic/C1wpw6 }),
.ce(\u_logic/n1008 ),
.clk(clk_pad),
.d({\u_logic/vis_ipsr_o[0] ,\u_logic/Y5eiu6 }),
.mi({open_n127566,\u_logic/G3eiu6 }),
.sr(cpuresetn),
.f({\u_logic/M1xiu6 ,\u_logic/n1008 }),
.q({open_n127581,\u_logic/Lp7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18104)
// ../rtl/topmodule/cortexm0ds_logic.v(18007)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(~C*B))"),
//.LUT1("(~(C*~B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000110011111111),
.INIT_LUT1(16'b0100010111001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3503|u_logic/Xu2qw6_reg (
.a({\u_logic/Bo1iu6 ,open_n127582}),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/Wo1iu6 }),
.c({\u_logic/H3lpw6 ,\u_logic/_al_u3264_o }),
.clk(clk_pad),
.d({\u_logic/Qehbx6 ,\u_logic/_al_u3243_o }),
.f({\u_logic/_al_u3503_o ,open_n127597}),
.q({open_n127601,\u_logic/Xu2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18007)
// ../rtl/topmodule/cortexm0ds_logic.v(19410)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3510|u_logic/Fe2bx6_reg (
.a({\u_logic/Yvgiu6 ,\u_logic/_al_u1930_o }),
.b({\u_logic/M6eiu6 ,\u_logic/_al_u1066_o }),
.c({\u_logic/Imhbx6 ,\u_logic/_al_u1931_o }),
.clk(clk_pad),
.d({\u_logic/Johbx6 ,\u_logic/Ukbpw6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/Xsgow6 ,\u_logic/N0phu6 }),
.q({open_n127618,\u_logic/Fe2bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19410)
EG_PHY_MSLICE #(
//.LUT0("(~(D*~C)*~(~B*~A))"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b1110000011101110),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u3513|u_logic/_al_u4023 (
.a({\u_logic/Tqgow6 ,\u_logic/_al_u2968_o }),
.b({\u_logic/_al_u3512_o ,HADDR[8]}),
.c({\u_logic/_al_u1942_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/vis_ipsr_o[4] ,\u_logic/Yzspw6 }),
.f({\u_logic/Yzqiu6 ,\u_logic/_al_u4023_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18301)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3514|u_logic/N3eax6_reg (
.a({\u_logic/Zt1iu6 ,\u_logic/_al_u3629_o }),
.b({\u_logic/Ar1iu6 ,\u_logic/_al_u3637_o }),
.c({\u_logic/Jraax6 ,\u_logic/_al_u3639_o }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Wtxax6 ,\u_logic/_al_u3640_o }),
.e({open_n127639,\u_logic/Bcgax6 }),
.mi({open_n127641,\u_logic/Gk4iu6 }),
.f({\u_logic/_al_u3514_o ,\u_logic/_al_u3648_o }),
.q({open_n127657,\u_logic/N3eax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18301)
// ../rtl/AHBsubordinate/AHBlite_UART.v(32)
EG_PHY_LSLICE #(
//.LUTF0("(B*~((0*D*~C))*~(A)+B*(0*D*~C)*~(A)+~(B)*(0*D*~C)*A+B*(0*D*~C)*A)"),
//.LUTF1("(~D*~C*B*~(0*A))"),
//.LUTG0("(B*~((1*D*~C))*~(A)+B*(1*D*~C)*~(A)+~(B)*(1*D*~C)*A+B*(1*D*~C)*A)"),
//.LUTG1("(~D*~C*B*~(1*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010001000100),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0100111001000100),
.INIT_LUTG1(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3515|UART_Interface/reg0_b0 (
.a({\u_logic/St1iu6 ,\u_logic/n5754 }),
.b({\u_logic/_al_u3514_o ,\u_logic/My0iu6 }),
.c({\u_logic/_al_u3279_o ,\u_logic/Wqzhu6 }),
.ce(\UART_Interface/n5 ),
.clk(clk_pad),
.d({\u_logic/_al_u3224_o ,\u_logic/E4yhu6 }),
.e({HRDATA[4],\u_logic/Qehbx6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3515_o ,HADDR[0]}),
.q({open_n127675,\UART_Interface/addr_reg [0]})); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u351|u_logic/_al_u445 (
.a({\u_logic/Wanow6_lutinv ,\u_logic/Cpqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r5_o[3] ,\u_logic/vis_r0_o[3] }),
.d({\u_logic/vis_r7_o[3] ,\u_logic/vis_r3_o[3] }),
.f({\u_logic/_al_u351_o ,\u_logic/_al_u445_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*A*~(~0*D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~C*B*A*~(~1*D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000100000001000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3525|u_logic/_al_u2838 (
.a({\u_logic/M6eiu6 ,\u_logic/_al_u2835_o }),
.b({\u_logic/_al_u1942_o ,\u_logic/Zi5iu6 }),
.c({\u_logic/vis_ipsr_o[1] ,\u_logic/_al_u2837_o }),
.d({\u_logic/Wnxax6 ,\u_logic/B1phu6 }),
.e({open_n127698,\u_logic/P12bx6 }),
.f({\u_logic/_al_u3525_o ,\u_logic/_al_u2838_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*C)*~(D*B))"),
//.LUT1("(A*~(1*C)*~(D*B))"),
.INIT_LUT0(16'b0010001010101010),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3526 (
.a({\u_logic/_al_u3525_o ,\u_logic/_al_u3525_o }),
.b({\u_logic/Y5eiu6 ,\u_logic/Y5eiu6 }),
.c({\u_logic/Fpgiu6 ,\u_logic/Fpgiu6 }),
.d({\u_logic/Avzax6 ,\u_logic/Avzax6 }),
.mi({open_n127731,\u_logic/G0zax6 }),
.fx({open_n127736,\u_logic/_al_u3526_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*B)*~(0*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~C*~(D*B)*~(1*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000001100001111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3527|u_logic/_al_u3257 (
.a({\u_logic/F0eow6 ,\u_logic/F0eow6 }),
.b({\u_logic/M0eow6 ,\u_logic/M0eow6 }),
.c({\u_logic/Aa2bx6 ,\u_logic/_al_u3180_o }),
.d({\u_logic/Cxzax6 ,\u_logic/Muhbx6 }),
.e({open_n127741,\u_logic/Owhbx6 }),
.f({\u_logic/_al_u3527_o ,\u_logic/_al_u3257_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3528|u_logic/_al_u3512 (
.a({\u_logic/_al_u3526_o ,\u_logic/F0eow6 }),
.b({\u_logic/_al_u3527_o ,\u_logic/M0eow6 }),
.c({\u_logic/Yvgiu6 ,\u_logic/Gihbx6 }),
.d({\u_logic/Oarpw6 ,\u_logic/Ikhbx6 }),
.f({\u_logic/Ovpiu6 ,\u_logic/_al_u3512_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18214)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B*~(D*~C)))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010101000100010),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3529|u_logic/H4bax6_reg (
.a({\u_logic/Yw1iu6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/_al_u3522_o ,\u_logic/_al_u3149_o }),
.c({\u_logic/_al_u3524_o ,\u_logic/Qa5iu6 }),
.ce(\u_logic/Oe7iu6 ),
.clk(clk_pad),
.d({\u_logic/Ovpiu6 ,\u_logic/_al_u410_o }),
.mi({open_n127796,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3529_o ,\u_logic/_al_u3150_o }),
.q({open_n127800,\u_logic/H4bax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18214)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u352|u_logic/_al_u444 (
.a({\u_logic/U9now6_lutinv ,\u_logic/Eqqow6 }),
.b({\u_logic/Panow6_lutinv ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r0_o[3] ,\u_logic/vis_r5_o[3] }),
.d({\u_logic/vis_r3_o[3] ,\u_logic/vis_r7_o[3] }),
.f({\u_logic/_al_u352_o ,\u_logic/_al_u444_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18414)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~A*~(0*~C)*~(~D*B))"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~A*~(1*~C)*~(~D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0101010100010001),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0101000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3530|u_logic/Ksgax6_reg (
.a({\u_logic/_al_u2975_o ,open_n127821}),
.b({\u_logic/Wo1iu6 ,open_n127822}),
.c({\u_logic/D7xiu6_lutinv ,\u_logic/M8ipw6 }),
.ce(\u_logic/M24iu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u3529_o ,\u_logic/T24iu6 }),
.e({\u_logic/L9bbx6 ,open_n127823}),
.sr(RSTn_pad),
.f({\u_logic/_al_u3530_o ,open_n127838}),
.q({open_n127842,\u_logic/Ksgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18414)
// ../rtl/topmodule/cortexm0ds_logic.v(19894)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3532|u_logic/Esabx6_reg (
.a({open_n127843,\u_logic/Sg7iu6 }),
.b({open_n127844,\u_logic/Vr1iu6 }),
.c({\u_logic/Lg9bx6 ,\u_logic/Iddax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/_al_u2549_o ,\u_logic/P9bax6 }),
.mi({open_n127848,\u_logic/L54iu6 }),
.f({\u_logic/_al_u3532_o ,\u_logic/_al_u3252_o }),
.q({open_n127864,\u_logic/Esabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19894)
// ../rtl/topmodule/cortexm0ds_logic.v(20103)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(B*A*(D@C))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000100010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3535|u_logic/H7hbx6_reg (
.a({\u_logic/_al_u3533_o ,\u_logic/_al_u3165_o }),
.b({\u_logic/_al_u3534_o ,\u_logic/_al_u3166_o }),
.c({\u_logic/_al_u2607_o ,\u_logic/_al_u3168_o }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Xdcax6 ,\u_logic/Bisiu6 }),
.mi({open_n127875,\u_logic/W74iu6 }),
.f({\u_logic/_al_u3535_o ,\u_logic/_al_u3171_o }),
.q({open_n127880,\u_logic/H7hbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20103)
// ../rtl/AHBsubordinate/AHBlite_UART.v(32)
EG_PHY_LSLICE #(
//.LUTF0("(B*~((D*C))*~(A)+B*(D*C)*~(A)+~(B)*(D*C)*A+B*(D*C)*A)"),
//.LUTF1("(C*(0@B)*~(~D*~A))"),
//.LUTG0("(B*~((D*C))*~(A)+B*(D*C)*~(A)+~(B)*(D*C)*A+B*(D*C)*A)"),
//.LUTG1("(C*(1@B)*~(~D*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110010001000100),
.INIT_LUTF1(16'b1100000010000000),
.INIT_LUTG0(16'b1110010001000100),
.INIT_LUTG1(16'b0011000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3536|UART_Interface/reg0_b1 (
.a({\u_logic/_al_u2481_o ,\u_logic/n5754 }),
.b({\u_logic/_al_u2513_o ,\u_logic/Hz0iu6 }),
.c({\u_logic/Ljcax6 ,\u_logic/Qc3pw6_lutinv }),
.ce(\UART_Interface/n5 ),
.clk(clk_pad),
.d({\u_logic/V0cax6 ,\u_logic/Vj3qw6 }),
.e({\u_logic/Zdcbx6 ,open_n127881}),
.sr(cpuresetn),
.f({\u_logic/_al_u3536_o ,HADDR[1]}),
.q({open_n127899,\UART_Interface/addr_reg [1]})); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTF1("(~(~C*~B)*~(D*A))"),
//.LUTG0("(D*C*~B*A)"),
//.LUTG1("(~(~C*~B)*~(D*A))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0101010011111100),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b0101010011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3538|u_logic/_al_u4009 (
.a({\u_logic/_al_u2505_o ,\u_logic/_al_u4008_o }),
.b({\u_logic/_al_u2541_o ,\u_logic/_al_u2541_o }),
.c({\u_logic/Koabx6 ,\u_logic/_al_u2574_o }),
.d({\u_logic/Nnfbx6 ,\u_logic/_al_u2583_o }),
.f({\u_logic/_al_u3538_o ,\u_logic/Vq9iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3539|u_logic/_al_u4353 (
.a({\u_logic/_al_u3538_o ,\u_logic/_al_u4352_o }),
.b({\u_logic/_al_u2481_o ,\u_logic/Dw1iu6 }),
.c({\u_logic/_al_u2529_o ,\u_logic/Cs1iu6 }),
.d({\u_logic/Rz8bx6 ,\u_logic/P4cax6 }),
.e({\u_logic/V0cax6 ,\u_logic/Ureax6 }),
.f({\u_logic/_al_u3539_o ,\u_logic/_al_u4353_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u353|u_logic/_al_u443 (
.a({\u_logic/N9now6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Voqow6 }),
.c({\u_logic/vis_r4_o[3] ,\u_logic/vis_r1_o[3] }),
.d({\u_logic/vis_r1_o[3] ,\u_logic/vis_r2_o[3] }),
.f({\u_logic/_al_u353_o ,\u_logic/_al_u443_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18091)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~C*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3540|u_logic/Ad7ax6_reg (
.a({open_n127970,\u_logic/_al_u2981_o }),
.b({open_n127971,\u_logic/_al_u4385_o }),
.c({\u_logic/Hjgax6 ,\u_logic/_al_u4386_o }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/_al_u2589_o ,\u_logic/_al_u4387_o }),
.mi({open_n127975,\u_logic/S54iu6 }),
.f({\u_logic/_al_u3540_o ,\u_logic/_al_u4388_o }),
.q({open_n127991,\u_logic/Ad7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18091)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~A*(D@C)*~(0*B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~A*(D@C)*~(1*B))"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000010101010000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000000100010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3541|u_logic/_al_u3168 (
.a({\u_logic/_al_u3540_o ,\u_logic/_al_u3167_o }),
.b({\u_logic/_al_u2460_o ,\u_logic/Dw1iu6 }),
.c({\u_logic/_al_u2493_o ,\u_logic/Vr1iu6 }),
.d({\u_logic/Bxbax6 ,\u_logic/C4dax6 }),
.e({\u_logic/M6cax6 ,\u_logic/Oveax6 }),
.f({\u_logic/_al_u3541_o ,\u_logic/_al_u3168_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3542|u_logic/_al_u3167 (
.a({\u_logic/_al_u3535_o ,\u_logic/Cs1iu6 }),
.b({\u_logic/_al_u3537_o ,\u_logic/Xs1iu6 }),
.c({\u_logic/_al_u3539_o ,\u_logic/J8cax6 }),
.d({\u_logic/_al_u3541_o ,\u_logic/Vzdax6 }),
.f({\u_logic/_al_u3542_o ,\u_logic/_al_u3167_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18280)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*(0@D))"),
//.LUTF1("(D*(C@B))"),
//.LUTG0("(C*B*A*(1@D))"),
//.LUTG1("(D*(C@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0011110000000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0011110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3544|u_logic/Q9dax6_reg (
.a({open_n128038,\u_logic/_al_u3596_o }),
.b({\u_logic/_al_u2613_o ,\u_logic/_al_u3604_o }),
.c({\u_logic/Phcax6 ,\u_logic/_al_u3610_o }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/_al_u3543_o ,\u_logic/_al_u2759_o }),
.e({open_n128039,\u_logic/Ahdax6 }),
.mi({open_n128041,\u_logic/Oh4iu6 }),
.f({\u_logic/_al_u3544_o ,\u_logic/_al_u3611_o }),
.q({open_n128057,\u_logic/Q9dax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18280)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u354|u_logic/_al_u442 (
.a({\u_logic/C7now6_lutinv ,\u_logic/Kmqow6 }),
.b({\u_logic/V6now6_lutinv ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r6_o[3] ,\u_logic/vis_r4_o[3] }),
.d({\u_logic/vis_r2_o[3] ,\u_logic/vis_r6_o[3] }),
.f({\u_logic/Yvoow6 ,\u_logic/_al_u442_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20019)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*(C@B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*(C@B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0011110000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0011110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3552|u_logic/Bvfbx6_reg (
.a({open_n128082,\u_logic/Cs1iu6 }),
.b({\u_logic/_al_u2574_o ,\u_logic/Xs1iu6 }),
.c({\u_logic/Evbax6 ,\u_logic/F7eax6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/_al_u3551_o ,\u_logic/Tfcax6 }),
.mi({open_n128086,\u_logic/P74iu6 }),
.f({\u_logic/_al_u3552_o ,\u_logic/_al_u3508_o }),
.q({open_n128102,\u_logic/Bvfbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20019)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*(D@C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*(D@C))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3554|u_logic/_al_u3555 (
.a({\u_logic/_al_u3552_o ,\u_logic/_al_u2521_o }),
.b({\u_logic/_al_u3553_o ,\u_logic/_al_u2497_o }),
.c({\u_logic/_al_u2501_o ,\u_logic/Facax6 }),
.d({\u_logic/G8ebx6 ,\u_logic/Zodbx6 }),
.f({\u_logic/_al_u3554_o ,\u_logic/_al_u3555_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*~B)*~(~C*~A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(~D*~B)*~(~C*~A))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1111101011001000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1111101011001000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3558|u_logic/_al_u3556 (
.a({\u_logic/_al_u3554_o ,\u_logic/_al_u2521_o }),
.b({\u_logic/_al_u3555_o ,\u_logic/_al_u2525_o }),
.c({\u_logic/_al_u3556_o ,\u_logic/Facax6 }),
.d({\u_logic/_al_u3557_o ,\u_logic/J8cax6 }),
.f({\u_logic/_al_u3558_o ,\u_logic/_al_u3556_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*D*C*B))"),
//.LUTF1("(C*B*A*(0@D))"),
//.LUTG0("(A*~(1*D*C*B))"),
//.LUTG1("(C*B*A*(1@D))"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3559|u_logic/_al_u3127 (
.a({\u_logic/_al_u3542_o ,\u_logic/Wo1iu6 }),
.b({\u_logic/_al_u3550_o ,\u_logic/Yw1iu6 }),
.c({\u_logic/_al_u3558_o ,\u_logic/_al_u3122_o }),
.d({\u_logic/_al_u2759_o ,\u_logic/_al_u3124_o }),
.e({\u_logic/Opbax6 ,\u_logic/Bguiu6 }),
.f({\u_logic/_al_u3559_o ,\u_logic/_al_u3127_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19893)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3560|u_logic/Hqabx6_reg (
.a({\u_logic/_al_u2489_o ,\u_logic/_al_u3154_o }),
.b({\u_logic/_al_u2493_o ,\u_logic/_al_u3158_o }),
.c({\u_logic/Dmeax6 ,\u_logic/_al_u3159_o }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Gkeax6 ,\u_logic/_al_u3160_o }),
.mi({open_n128183,\u_logic/L54iu6 }),
.f({\u_logic/_al_u3560_o ,\u_logic/_al_u3161_o }),
.q({open_n128188,\u_logic/Hqabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19893)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~A*~(~D*~(0*~B)))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~A*~(~D*~(1*~B)))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000010100000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000010100000001),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u356|u_logic/_al_u4643 (
.a({open_n128189,\u_logic/_al_u4641_o }),
.b({open_n128190,\u_logic/_al_u4542_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u4642_o }),
.d({\u_logic/K50iu6 ,\u_logic/_al_u4618_o }),
.e({open_n128193,\u_logic/_al_u4543_o }),
.f({\u_logic/Mifpw6 [3],\u_logic/_al_u4643_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(~D*~A))"),
//.LUT1("(C)"),
.INIT_LUT0(16'b0011111100101010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
\u_logic/_al_u3572 (
.a({open_n128214,\u_logic/_al_u2589_o }),
.b({open_n128215,\u_logic/_al_u2623_o }),
.c({open_n128216,\u_logic/B9jbx6 }),
.d({open_n128219,\u_logic/Elgax6 }),
.f({open_n128233,\u_logic/_al_u3572_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18269)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(~D*~A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011111100101010),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3573|u_logic/Apcax6_reg (
.a({\u_logic/_al_u3569_o ,\u_logic/_al_u2521_o }),
.b({\u_logic/_al_u3570_o ,\u_logic/_al_u2589_o }),
.c({\u_logic/_al_u3571_o ,\u_logic/Elgax6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/_al_u3572_o ,\u_logic/Kxeax6 }),
.mi({open_n128249,\u_logic/K84iu6 }),
.f({\u_logic/_al_u3573_o ,\u_logic/_al_u3569_o }),
.q({open_n128254,\u_logic/Apcax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18269)
// ../rtl/topmodule/cortexm0ds_logic.v(18257)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3576|u_logic/Bccax6_reg (
.a({\u_logic/_al_u2509_o ,\u_logic/_al_u3048_o }),
.b({\u_logic/_al_u2513_o ,\u_logic/Cs1iu6 }),
.c({\u_logic/Q1hbx6 ,\u_logic/Zt1iu6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Wfcbx6 ,\u_logic/Cccbx6 }),
.e({open_n128255,\u_logic/Zdcbx6 }),
.mi({open_n128257,\u_logic/Gk4iu6 }),
.f({\u_logic/_al_u3576_o ,\u_logic/_al_u3049_o }),
.q({open_n128273,\u_logic/Bccax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18257)
// ../rtl/topmodule/cortexm0ds_logic.v(18294)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3577|u_logic/Kqdax6_reg (
.a({\u_logic/_al_u3573_o ,\u_logic/_al_u2549_o }),
.b({\u_logic/_al_u3574_o ,\u_logic/_al_u2583_o }),
.c({\u_logic/_al_u3575_o ,\u_logic/Htbax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/_al_u3576_o ,\u_logic/Lg9bx6 }),
.mi({open_n128277,\u_logic/N64iu6 }),
.f({\u_logic/_al_u3577_o ,\u_logic/_al_u3534_o }),
.q({open_n128293,\u_logic/Kqdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18294)
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D*A)))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(B*~(C*~(D*A)))"),
//.LUTG1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000110000001100),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1000110000001100),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3578|UART_RX/reg1_b1 (
.a({open_n128294,_al_u610_o}),
.b({open_n128295,_al_u611_o}),
.c({\u_logic/Oveax6 ,_al_u612_o}),
.ce(\UART_RX/mux5_b1_sel_is_3_o ),
.clk(clk_pad),
.d({\u_logic/_al_u2525_o ,UART_RX_data[7]}),
.mi({open_n128299,RXD_pad}),
.sr(cpuresetn),
.f({\u_logic/_al_u3578_o ,HRDATA[7]}),
.q({open_n128314,UART_RX_data[1]})); // ../rtl/peripherals/UART_RX.v(43)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~0*~C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(~C*~A))"),
//.LUTG0("(A*~(~1*~C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(~C*~A))"),
.INIT_LUTF0(16'b0010000010100000),
.INIT_LUTF1(16'b0011001011111010),
.INIT_LUTG0(16'b0010001010101010),
.INIT_LUTG1(16'b0011001011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3580|u_logic/_al_u3563 (
.a({\u_logic/_al_u2493_o ,\u_logic/_al_u3562_o }),
.b({\u_logic/_al_u2618_o ,\u_logic/_al_u2501_o }),
.c({\u_logic/Gkeax6 ,\u_logic/_al_u2489_o }),
.d({\u_logic/Y2fax6 ,\u_logic/Daebx6 }),
.e({open_n128317,\u_logic/Dmeax6 }),
.f({\u_logic/_al_u3580_o ,\u_logic/_al_u3563_o }));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(0@C)*~(~D*~B))"),
//.LUTF1("(B*A*(D@C))"),
//.LUTG0("(~A*(1@C)*~(~D*~B))"),
//.LUTG1("(B*A*(D@C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101000001000000),
.INIT_LUTF1(16'b0000100010000000),
.INIT_LUTG0(16'b0000010100000100),
.INIT_LUTG1(16'b0000100010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3581|RAMCODE_Interface/reg0_b5 (
.a({\u_logic/_al_u3579_o ,\u_logic/_al_u3582_o }),
.b({\u_logic/_al_u3580_o ,\u_logic/_al_u2501_o }),
.c({\u_logic/_al_u2583_o ,\u_logic/_al_u2517_o }),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/Mgeax6 ,\u_logic/Daebx6 }),
.e({open_n128338,\u_logic/Zycbx6 }),
.mi({open_n128340,HADDR[7]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3581_o ,\u_logic/_al_u3583_o }),
.q({open_n128355,RAMCODE_WADDR[5]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3582|RAMCODE_Interface/reg0_b0 (
.c({\u_logic/N19bx6 ,\u_logic/T2dbx6 }),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/_al_u2529_o ,\u_logic/_al_u2517_o }),
.mi({open_n128363,HADDR[2]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3582_o ,\u_logic/_al_u3613_o }),
.q({open_n128378,RAMCODE_WADDR[0]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~(D*B)*~(~C*~A))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~(D*B)*~(~C*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0011001011111010),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0011001011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3585|RAMCODE_Interface/reg0_b7 (
.a({\u_logic/_al_u2505_o ,\u_logic/_al_u3581_o }),
.b({\u_logic/_al_u2525_o ,\u_logic/_al_u3583_o }),
.c({\u_logic/Kpfbx6 ,\u_logic/_al_u3584_o }),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/Oveax6 ,\u_logic/_al_u3585_o }),
.mi({open_n128382,HADDR[9]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3585_o ,\u_logic/_al_u3586_o }),
.q({open_n128397,RAMCODE_WADDR[7]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/topmodule/cortexm0ds_logic.v(18193)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3588|u_logic/Bvaax6_reg (
.a({\u_logic/_al_u3559_o ,\u_logic/St1iu6 }),
.b({\u_logic/_al_u3587_o ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/Krbax6 ,HRDATA[1]}),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Peeax6 ,\u_logic/Hz9ax6 }),
.mi({open_n128408,\u_logic/Ud4iu6 }),
.f({\u_logic/Dx7iu6 ,\u_logic/_al_u3519_o }),
.q({open_n128413,\u_logic/Bvaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18193)
// ../rtl/topmodule/cortexm0ds_logic.v(18277)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~A*(0@C)*~(D*B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~A*(1@C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001000001010000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3590|u_logic/C4dax6_reg (
.a({\u_logic/_al_u3589_o ,\u_logic/Cs1iu6 }),
.b({\u_logic/_al_u2545_o ,\u_logic/Vr1iu6 }),
.c({\u_logic/_al_u2623_o ,\u_logic/Qudbx6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Eudax6 ,\u_logic/Zodbx6 }),
.e({\u_logic/Xajbx6 ,open_n128414}),
.mi({open_n128416,\u_logic/J44iu6 }),
.f({\u_logic/_al_u3590_o ,\u_logic/Hcuiu6 }),
.q({open_n128432,\u_logic/C4dax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18277)
// ../rtl/topmodule/cortexm0ds_logic.v(18168)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("((D@B)*(C@A))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("((D@B)*(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0001001001001000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0001001001001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3591|u_logic/Lk9ax6_reg (
.a({\u_logic/_al_u2505_o ,\u_logic/Uosiu6 }),
.b({\u_logic/_al_u2517_o ,\u_logic/_al_u3157_o }),
.c({\u_logic/Hrfbx6 ,\u_logic/Kw1iu6_lutinv }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/W0dbx6 ,\u_logic/Xv8bx6 }),
.mi({open_n128436,\u_logic/X44iu6 }),
.f({\u_logic/_al_u3591_o ,\u_logic/_al_u3158_o }),
.q({open_n128452,\u_logic/Lk9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18168)
// ../rtl/topmodule/cortexm0ds_logic.v(18321)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3594|u_logic/Oveax6_reg (
.a({\u_logic/_al_u3590_o ,\u_logic/_al_u3505_o }),
.b({\u_logic/_al_u3591_o ,\u_logic/Ftqiu6 }),
.c({\u_logic/_al_u3592_o ,\u_logic/_al_u3507_o }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/_al_u3593_o ,\u_logic/_al_u3508_o }),
.mi({open_n128463,\u_logic/J44iu6 }),
.f({\u_logic/_al_u3594_o ,\u_logic/_al_u3509_o }),
.q({open_n128468,\u_logic/Oveax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18321)
EG_PHY_LSLICE #(
//.LUTF0("((D@B)*(C@A))"),
//.LUTF1("(B*A*(D@C))"),
//.LUTG0("((D@B)*(C@A))"),
//.LUTG1("(B*A*(D@C))"),
.INIT_LUTF0(16'b0001001001001000),
.INIT_LUTF1(16'b0000100010000000),
.INIT_LUTG0(16'b0001001001001000),
.INIT_LUTG1(16'b0000100010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3596|u_logic/_al_u3592 (
.a({\u_logic/_al_u3594_o ,\u_logic/_al_u2501_o }),
.b({\u_logic/_al_u3595_o ,\u_logic/_al_u2583_o }),
.c({\u_logic/_al_u2574_o ,\u_logic/Acebx6 }),
.d({\u_logic/Qmdax6 ,\u_logic/Tkdax6 }),
.f({\u_logic/_al_u3596_o ,\u_logic/_al_u3592_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18316)
EG_PHY_MSLICE #(
//.LUT0("(B*A*(D@C))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3601|u_logic/Dmeax6_reg (
.a({\u_logic/_al_u2521_o ,\u_logic/_al_u3544_o }),
.b({\u_logic/_al_u2618_o ,\u_logic/_al_u3545_o }),
.c({\u_logic/F7eax6 ,\u_logic/_al_u2509_o }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/R1eax6 ,\u_logic/Tzgbx6 }),
.mi({open_n128503,\u_logic/N64iu6 }),
.f({\u_logic/_al_u3601_o ,\u_logic/_al_u3546_o }),
.q({open_n128508,\u_logic/Dmeax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18316)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(D*(C@B))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(D*(C@B))"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0011110000000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0011110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3602|u_logic/_al_u3137 (
.a({open_n128509,\u_logic/_al_u3135_o }),
.b({\u_logic/_al_u2481_o ,\u_logic/_al_u3136_o }),
.c({\u_logic/Hsdax6 ,\u_logic/Zt1iu6 }),
.d({\u_logic/_al_u3601_o ,\u_logic/Q8aax6 }),
.f({\u_logic/_al_u3602_o ,\u_logic/_al_u3137_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18256)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*(0@D))"),
//.LUTF1("(C*B*A*(0@D))"),
//.LUTG0("(C*B*A*(1@D))"),
//.LUTG1("(C*B*A*(1@D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3604|u_logic/Facax6_reg (
.a({\u_logic/_al_u3600_o ,\u_logic/_al_u3568_o }),
.b({\u_logic/_al_u3602_o ,\u_logic/_al_u3577_o }),
.c({\u_logic/_al_u3603_o ,\u_logic/_al_u3586_o }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/_al_u2533_o ,\u_logic/_al_u2759_o }),
.e({\u_logic/N3eax6 ,\u_logic/Tceax6 }),
.mi({open_n128535,\u_logic/Pl4iu6 }),
.f({\u_logic/_al_u3604_o ,\u_logic/_al_u3587_o }),
.q({open_n128551,\u_logic/Facax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18256)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3605|u_logic/_al_u4324 (
.a({open_n128552,\u_logic/Kw1iu6_lutinv }),
.b({open_n128553,\u_logic/Dw1iu6 }),
.c({\u_logic/Bwdax6 ,\u_logic/Hqabx6 }),
.d({\u_logic/_al_u2537_o ,\u_logic/Qkabx6 }),
.f({\u_logic/_al_u3605_o ,\u_logic/L8tiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18226)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~A*(0@C)*~(~D*~B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~A*(1@C)*~(~D*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0101000001000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000010100000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3606|u_logic/Vibax6_reg (
.a({\u_logic/_al_u3605_o ,\u_logic/Kw1iu6_lutinv }),
.b({\u_logic/_al_u2521_o ,\u_logic/Cs1iu6 }),
.c({\u_logic/_al_u2497_o ,\u_logic/Cxcbx6 }),
.ce(\u_logic/n529 ),
.clk(clk_pad),
.d({\u_logic/R1eax6 ,\u_logic/Itcbx6 }),
.e({\u_logic/Tsdbx6 ,open_n128578}),
.mi({open_n128580,\u_logic/H34iu6 }),
.f({\u_logic/_al_u3606_o ,\u_logic/_al_u3040_o }),
.q({open_n128596,\u_logic/Vibax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18226)
// ../rtl/topmodule/cortexm0ds_logic.v(18290)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3612|u_logic/Widax6_reg (
.a({open_n128597,\u_logic/_al_u3523_o }),
.b({open_n128598,\u_logic/Sg7iu6 }),
.c({\u_logic/Widax6 ,\u_logic/Ar1iu6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/_al_u3611_o ,\u_logic/Nu5bx6 }),
.e({open_n128599,\u_logic/X5bax6 }),
.mi({open_n128601,\u_logic/T94iu6 }),
.f({\u_logic/_al_u3612_o ,\u_logic/_al_u3524_o }),
.q({open_n128617,\u_logic/Widax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18290)
EG_PHY_MSLICE #(
//.LUT0("(~A*(0@C)*~(~D*~B))"),
//.LUT1("(~A*(1@C)*~(~D*~B))"),
.INIT_LUT0(16'b0101000001000000),
.INIT_LUT1(16'b0000010100000100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3614 (
.a({\u_logic/_al_u3613_o ,\u_logic/_al_u3613_o }),
.b({\u_logic/_al_u2481_o ,\u_logic/_al_u2481_o }),
.c({\u_logic/_al_u2489_o ,\u_logic/_al_u2489_o }),
.d({\u_logic/Owcax6 ,\u_logic/Owcax6 }),
.mi({open_n128630,\u_logic/Rucax6 }),
.fx({open_n128635,\u_logic/_al_u3614_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3615 (
.c({open_n128642,\u_logic/Qjcbx6 }),
.d({open_n128645,\u_logic/_al_u2513_o }),
.f({open_n128659,\u_logic/_al_u3615_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3617|u_logic/_al_u3619 (
.c({\u_logic/F59bx6 ,\u_logic/Buabx6 }),
.d({\u_logic/_al_u2529_o ,\u_logic/_al_u2541_o }),
.f({\u_logic/_al_u3617_o ,\u_logic/_al_u3619_o }));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D@C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(~D*~A))"),
//.LUTG0("(~A*(D@C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(~D*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010101010000),
.INIT_LUTF1(16'b0011111100101010),
.INIT_LUTG0(16'b0000000100010000),
.INIT_LUTG1(16'b0011111100101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3622|RAMCODE_Interface/reg0_b1 (
.a({\u_logic/_al_u2501_o ,\u_logic/_al_u3619_o }),
.b({\u_logic/_al_u2493_o ,\u_logic/_al_u2501_o }),
.c({\u_logic/Uscax6 ,\u_logic/_al_u2618_o }),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/Xdebx6 ,\u_logic/Mbdax6 }),
.e({open_n128689,\u_logic/Xdebx6 }),
.mi({open_n128691,HADDR[3]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3622_o ,\u_logic/_al_u3620_o }),
.q({open_n128706,RAMCODE_WADDR[1]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/topmodule/cortexm0ds_logic.v(19892)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(~C*~A))"),
//.LUTF1("(~(~D*~B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(~C*~A))"),
//.LUTG1("(~(~D*~B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001011111010),
.INIT_LUTF1(16'b0101111101001100),
.INIT_LUTG0(16'b0011001011111010),
.INIT_LUTG1(16'b0101111101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3624|u_logic/Koabx6_reg (
.a({\u_logic/_al_u2481_o ,\u_logic/_al_u2460_o }),
.b({\u_logic/_al_u2493_o ,\u_logic/_al_u2545_o }),
.c({\u_logic/Owcax6 ,\u_logic/M6cax6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Uscax6 ,\u_logic/S2cax6 }),
.mi({open_n128710,\u_logic/L54iu6 }),
.f({\u_logic/_al_u3624_o ,\u_logic/_al_u3545_o }),
.q({open_n128726,\u_logic/Koabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19892)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*(D@C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*(D@C))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3625|u_logic/_al_u3157 (
.a({\u_logic/_al_u3623_o ,\u_logic/Cs1iu6 }),
.b({\u_logic/_al_u3624_o ,\u_logic/Vr1iu6 }),
.c({\u_logic/_al_u2607_o ,\u_logic/F59bx6 }),
.d({\u_logic/Q9dax6 ,\u_logic/Rz8bx6 }),
.f({\u_logic/_al_u3625_o ,\u_logic/_al_u3157_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(~D*~A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(C*B)*~(~D*~A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0011111100101010),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0011111100101010),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3626|u_logic/_al_u3553 (
.a({open_n128751,\u_logic/_al_u2497_o }),
.b({open_n128752,\u_logic/_al_u2541_o }),
.c({\u_logic/Apcax6 ,\u_logic/Koabx6 }),
.d({\u_logic/_al_u2583_o ,\u_logic/Zodbx6 }),
.f({\u_logic/_al_u3626_o ,\u_logic/_al_u3553_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*(D@C)*~(0*B))"),
//.LUT1("(~A*(D@C)*~(1*B))"),
.INIT_LUT0(16'b0000010101010000),
.INIT_LUT1(16'b0000000100010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3627 (
.a({\u_logic/_al_u3626_o ,\u_logic/_al_u3626_o }),
.b({\u_logic/_al_u2505_o ,\u_logic/_al_u2505_o }),
.c({\u_logic/_al_u2525_o ,\u_logic/_al_u2525_o }),
.d({\u_logic/C4dax6 ,\u_logic/C4dax6 }),
.mi({open_n128789,\u_logic/Etfbx6 }),
.fx({open_n128794,\u_logic/_al_u3627_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19895)
EG_PHY_MSLICE #(
//.LUT0("((C@B)*(D@A))"),
//.LUT1("(~(C*B)*(D@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010000101000),
.INIT_LUT1(16'b0001010100101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3628|u_logic/Buabx6_reg (
.a({\u_logic/_al_u2497_o ,\u_logic/_al_u2537_o }),
.b({\u_logic/_al_u2583_o ,\u_logic/_al_u2623_o }),
.c({\u_logic/Apcax6 ,\u_logic/F7jbx6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Qudbx6 ,\u_logic/P4cax6 }),
.mi({open_n128807,\u_logic/L54iu6 }),
.f({\u_logic/_al_u3628_o ,\u_logic/_al_u3557_o }),
.q({open_n128812,\u_logic/Buabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19895)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C)*(0@B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~A*~(D*C)*(1@B))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000010001000100),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3629|u_logic/_al_u3618 (
.a({\u_logic/_al_u3621_o ,\u_logic/_al_u3617_o }),
.b({\u_logic/_al_u3625_o ,\u_logic/_al_u2613_o }),
.c({\u_logic/_al_u3627_o ,\u_logic/_al_u2541_o }),
.d({\u_logic/_al_u3628_o ,\u_logic/Buabx6 }),
.e({open_n128815,\u_logic/Iddax6 }),
.f({\u_logic/_al_u3629_o ,\u_logic/_al_u3618_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D@C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(~C*~A))"),
//.LUTG0("(~A*(D@C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(~C*~A))"),
.INIT_LUTF0(16'b0000010101010000),
.INIT_LUTF1(16'b0011001011111010),
.INIT_LUTG0(16'b0000000100010000),
.INIT_LUTG1(16'b0011001011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3630|u_logic/_al_u3616 (
.a({\u_logic/_al_u2537_o ,\u_logic/_al_u3615_o }),
.b({\u_logic/_al_u2623_o ,\u_logic/_al_u2509_o }),
.c({\u_logic/I0dax6 ,\u_logic/_al_u2485_o }),
.d({\u_logic/Tcjbx6 ,\u_logic/Btbbx6 }),
.e({open_n128838,\u_logic/K5hbx6 }),
.f({\u_logic/_al_u3630_o ,\u_logic/_al_u3616_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(A*~(D*C)*~(~0*~B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(A*~(D*C)*~(~1*~B))"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3631|u_logic/_al_u4300 (
.a({\u_logic/_al_u3630_o ,\u_logic/_al_u4299_o }),
.b({\u_logic/_al_u2513_o ,\u_logic/Cs1iu6 }),
.c({\u_logic/_al_u2545_o ,\u_logic/Zt1iu6 }),
.d({\u_logic/Lycax6 ,\u_logic/Heaax6 }),
.e({\u_logic/Qjcbx6 ,\u_logic/S2cax6 }),
.f({\u_logic/_al_u3631_o ,\u_logic/_al_u4300_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(~(~D*~B)*~(~C*~A))"),
.INIT_LUT0(16'b1100010000000100),
.INIT_LUT1(16'b1111101011001000),
.MODE("LOGIC"))
\u_logic/_al_u3632|u_logic/_al_u3326 (
.a({\u_logic/_al_u2509_o ,\u_logic/_al_u2533_o }),
.b({\u_logic/_al_u2545_o ,\u_logic/Nvkbx6 [7]}),
.c({\u_logic/K5hbx6 ,\u_logic/_al_u3288_o }),
.d({\u_logic/Lycax6 ,\u_logic/vis_pc_o[6] }),
.f({\u_logic/_al_u3632_o ,\u_logic/Yokhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~A*(0@C)*~(~D*~B))"),
//.LUT1("(~A*(1@C)*~(~D*~B))"),
.INIT_LUT0(16'b0101000001000000),
.INIT_LUT1(16'b0000010100000100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3635 (
.a({\u_logic/_al_u3634_o ,\u_logic/_al_u3634_o }),
.b({\u_logic/_al_u2529_o ,\u_logic/_al_u2529_o }),
.c({\u_logic/_al_u2574_o ,\u_logic/_al_u2574_o }),
.d({\u_logic/F59bx6 ,\u_logic/F59bx6 }),
.mi({open_n128913,\u_logic/Xqcax6 }),
.fx({open_n128918,\u_logic/_al_u3635_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18267)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(C@D)"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0000111111110000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3640|u_logic/Hlcax6_reg (
.a({open_n128921,\u_logic/_al_u3519_o }),
.b({open_n128922,\u_logic/_al_u3521_o }),
.c({\u_logic/Hlcax6 ,\u_logic/Jf7iu6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/_al_u2759_o ,\u_logic/Hdbax6 }),
.mi({open_n128926,\u_logic/Ud4iu6 }),
.f({\u_logic/_al_u3640_o ,\u_logic/_al_u3522_o }),
.q({open_n128942,\u_logic/Hlcax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18267)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*~D)"),
//.LUTF1("(D*C*B*~A)"),
//.LUTG0("(C*~B*~D)"),
//.LUTG1("(D*C*B*~A)"),
.INIT_LUTF0(16'b0000000000110000),
.INIT_LUTF1(16'b0100000000000000),
.INIT_LUTG0(16'b0000000000110000),
.INIT_LUTG1(16'b0100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3643|u_logic/_al_u2920 (
.a({\u_logic/_al_u3371_o ,open_n128943}),
.b({\u_logic/_al_u3642_o ,\u_logic/_al_u2293_o }),
.c({\u_logic/_al_u2359_o ,\u_logic/Mnxow6 }),
.d({\u_logic/_al_u2361_o ,\u_logic/_al_u2713_o }),
.f({\u_logic/_al_u3643_o ,\u_logic/_al_u2920_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*~A))"),
//.LUT1("(~(D*B)*~(C*~A))"),
.INIT_LUT0(16'b0010001110101111),
.INIT_LUT1(16'b0010001110101111),
.MODE("LOGIC"))
\u_logic/_al_u3652|u_logic/_al_u3651 (
.a({\u_logic/O00iu6 ,\u_logic/N30iu6 }),
.b({\u_logic/_al_u1089_o ,\u_logic/_al_u1089_o }),
.c({\u_logic/_al_u413_o ,\u_logic/_al_u413_o }),
.d({\u_logic/R9mpw6 ,\u_logic/Sqkax6 }),
.f({\u_logic/Y1qow6 ,\u_logic/_al_u3651_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*~A))"),
//.LUTF1("(~(D*B)*~(C*~A))"),
//.LUTG0("(~(D*B)*~(C*~A))"),
//.LUTG1("(~(D*B)*~(C*~A))"),
.INIT_LUTF0(16'b0010001110101111),
.INIT_LUTF1(16'b0010001110101111),
.INIT_LUTG0(16'b0010001110101111),
.INIT_LUTG1(16'b0010001110101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3656|u_logic/_al_u3654 (
.a({\u_logic/Uwzhu6 ,\u_logic/Pxzhu6 }),
.b({\u_logic/_al_u1089_o ,\u_logic/_al_u1089_o }),
.c({\u_logic/_al_u413_o ,\u_logic/_al_u413_o }),
.d({\u_logic/U1kpw6 ,\u_logic/Rskax6 }),
.f({\u_logic/_al_u3656_o ,\u_logic/_al_u3654_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(C@(D*~(B*A)))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("~(C@(D*~(B*A)))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0111100000001111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0111100000001111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3657|u_logic/_al_u3916 (
.a({\u_logic/_al_u3653_o ,\u_logic/_al_u3653_o }),
.b({\u_logic/_al_u3654_o ,\u_logic/_al_u3654_o }),
.c({\u_logic/_al_u3655_o ,\u_logic/_al_u3656_o }),
.d({\u_logic/_al_u3656_o ,\u_logic/Hirpw6 }),
.f({\u_logic/N7pow6 ,\u_logic/F93ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("~(C@(0*~(D*B*A)))"),
//.LUTF1("~(B@(C*~D))"),
//.LUTG0("~(C@(1*~(D*B*A)))"),
//.LUTG1("~(B@(C*~D))"),
.INIT_LUTF0(16'b0000111100001111),
.INIT_LUTF1(16'b0011001111000011),
.INIT_LUTG0(16'b0111100011110000),
.INIT_LUTG1(16'b0011001111000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3660|u_logic/_al_u3915 (
.a({open_n129036,\u_logic/_al_u3653_o }),
.b({\u_logic/_al_u3654_o ,\u_logic/_al_u3654_o }),
.c({\u_logic/Hirpw6 ,\u_logic/_al_u3655_o }),
.d({\u_logic/_al_u3653_o ,\u_logic/_al_u3656_o }),
.e({open_n129039,\u_logic/Hirpw6 }),
.f({\u_logic/Hv3ju6_lutinv ,\u_logic/_al_u3915_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C@B))"),
//.LUT1("(~D*(C@B))"),
.INIT_LUT0(16'b0000000011000011),
.INIT_LUT1(16'b0000000000111100),
.MODE("LOGIC"))
\u_logic/_al_u3663|u_logic/_al_u3662 (
.b({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.c({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/_al_u3651_o ,\u_logic/_al_u3651_o }),
.f({\u_logic/_al_u3663_o ,\u_logic/_al_u3662_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18738)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000001010001010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3664|u_logic/Eclax6_reg (
.a({\u_logic/_al_u3651_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Y1qow6 ,\u_logic/_al_u745_o }),
.c({\u_logic/E90iu6 ,\u_logic/vis_psp_o[0] }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/R50iu6 ,\u_logic/vis_r14_o[2] }),
.mi({open_n129092,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u3664_o ,\u_logic/_al_u907_o }),
.q({open_n129097,\u_logic/vis_r10_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(18738)
// ../rtl/topmodule/cortexm0ds_logic.v(18868)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(~C*~(~0*B)*~(~D*A))"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(~C*~(~1*B)*~(~D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b0000001100000001),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b0000111100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3671|u_logic/Misax6_reg (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3651_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/Y1qow6 }),
.c({\u_logic/_al_u3670_o ,\u_logic/P40iu6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/P40iu6 ,\u_logic/D50iu6 }),
.e({\u_logic/D50iu6 ,open_n129098}),
.mi({open_n129100,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u3671_o ,\u_logic/_al_u3910_o }),
.q({open_n129116,\u_logic/vis_r12_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(18868)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B)*~(~0*A))"),
//.LUTF1("(~C*~(~0*B)*~(~D*A))"),
//.LUTG0("(~C*~(~D*B)*~(~1*A))"),
//.LUTG1("(~C*~(~1*B)*~(~D*A))"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b0000001100000001),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0000111100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3678|u_logic/_al_u3684 (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3677_o ,\u_logic/_al_u3683_o }),
.d({\u_logic/E90iu6 ,\u_logic/K50iu6 }),
.e({\u_logic/R50iu6 ,\u_logic/W40iu6 }),
.f({\u_logic/_al_u3678_o ,\u_logic/_al_u3684_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(~A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(~A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b0001000100000101),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b0001000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3681|u_logic/_al_u1699 (
.a({\u_logic/_al_u3676_o ,\u_logic/_al_u1202_o }),
.b({\u_logic/_al_u3678_o ,\u_logic/_al_u1442_o }),
.c({\u_logic/_al_u3680_o ,\u_logic/_al_u1450_o }),
.d({\u_logic/Hv3ju6_lutinv ,\u_logic/Wfspw6 }),
.f({\u_logic/_al_u3681_o ,\u_logic/_al_u1699_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(A@(~C*B)))"),
//.LUTF1("(D*~(A@(~C*~B)))"),
//.LUTG0("(D*(A@(~C*B)))"),
//.LUTG1("(D*~(A@(~C*~B)))"),
.INIT_LUTF0(16'b1010011000000000),
.INIT_LUTF1(16'b0101011000000000),
.INIT_LUTG0(16'b1010011000000000),
.INIT_LUTG1(16'b0101011000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3682|u_logic/_al_u3661 (
.a({\u_logic/V2kow6_lutinv ,\u_logic/V2kow6_lutinv }),
.b({\u_logic/_al_u3675_o ,\u_logic/Hv3ju6_lutinv }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/_al_u3653_o }),
.d({\u_logic/Ufopw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u3682_o ,\u_logic/_al_u3661_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18743)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100000101010),
.INIT_LUT1(16'b0000001010001010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3683|u_logic/Cmlax6_reg (
.a({\u_logic/_al_u3651_o ,\u_logic/_al_u3651_o }),
.b({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.c({\u_logic/D50iu6 ,\u_logic/K50iu6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/F60iu6 ,\u_logic/W40iu6 }),
.mi({open_n129197,\u_logic/Tx8iu6 }),
.f({\u_logic/_al_u3683_o ,\u_logic/_al_u3670_o }),
.q({open_n129202,\u_logic/vis_r10_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18743)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*~(B*~A)))"),
//.LUT1("(~D*~C*B*~A)"),
.INIT_LUT0(16'b0000000001001111),
.INIT_LUT1(16'b0000000000000100),
.MODE("LOGIC"))
\u_logic/_al_u3686|u_logic/_al_u3940 (
.a({\u_logic/_al_u3668_o ,\u_logic/_al_u3931_o }),
.b({\u_logic/Mg3ju6_lutinv ,\u_logic/_al_u3938_o }),
.c({\u_logic/_al_u3681_o ,\u_logic/Iz3ju6_lutinv }),
.d({\u_logic/_al_u3685_o ,\u_logic/_al_u3673_o }),
.f({\u_logic/_al_u3686_o ,\u_logic/Bs3ju6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17884)
EG_PHY_LSLICE #(
//.LUTF0("~(~0*~((D*C*B))*~(A)+~0*(D*C*B)*~(A)+~(~0)*(D*C*B)*A+~0*(D*C*B)*A)"),
//.LUTF1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG0("~(~1*~((D*C*B))*~(A)+~1*(D*C*B)*~(A)+~(~1)*(D*C*B)*A+~1*(D*C*B)*A)"),
//.LUTG1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101010101010),
.INIT_LUTF1(16'b0000100000101010),
.INIT_LUTG0(16'b0111111111111111),
.INIT_LUTG1(16'b0000100000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3688|u_logic/Onypw6_reg (
.a({\u_logic/_al_u3651_o ,\u_logic/_al_u673_o }),
.b({\u_logic/Y1qow6 ,\u_logic/E90iu6 }),
.c({\u_logic/M60iu6 ,\u_logic/_al_u697_o }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/R50iu6 ,\u_logic/_al_u698_o }),
.e({open_n129223,\u_logic/Nu5bx6 }),
.mi({open_n129225,\u_logic/Uoliu6 }),
.f({\u_logic/_al_u3688_o ,\u_logic/_al_u699_o }),
.q({open_n129241,\u_logic/vis_r14_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(17884)
// ../rtl/topmodule/cortexm0ds_logic.v(17892)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0000100000101010),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000100000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3690|u_logic/Ftypw6_reg (
.a({\u_logic/_al_u3651_o ,\u_logic/_al_u906_o }),
.b({\u_logic/Y1qow6 ,\u_logic/_al_u907_o }),
.c({\u_logic/E90iu6 ,\u_logic/Nq4ju6_lutinv }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/K50iu6 ,\u_logic/vis_r11_o[2] }),
.mi({open_n129245,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u3690_o ,\u_logic/_al_u908_o }),
.q({open_n129261,\u_logic/vis_psp_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(17892)
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~0*B)*~(~D*A))"),
//.LUT1("(~C*~(~1*B)*~(~D*A))"),
.INIT_LUT0(16'b0000001100000001),
.INIT_LUT1(16'b0000111100000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3691 (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3690_o ,\u_logic/_al_u3690_o }),
.d({\u_logic/D50iu6 ,\u_logic/D50iu6 }),
.mi({open_n129274,\u_logic/F60iu6 }),
.fx({open_n129279,\u_logic/_al_u3691_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*B*(C*~(A)*~(0)+C*A*~(0)+~(C)*A*0+C*A*0))"),
//.LUTF1("(~A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG0("(D*B*(C*~(A)*~(1)+C*A*~(1)+~(C)*A*1+C*A*1))"),
//.LUTG1("(~A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000010100010001),
.INIT_LUTG0(16'b1000100000000000),
.INIT_LUTG1(16'b0000010100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3692|u_logic/_al_u3914 (
.a({\u_logic/_al_u3687_o ,\u_logic/Av3ju6 }),
.b({\u_logic/Nweow6 ,\u_logic/_al_u3909_o }),
.c({\u_logic/_al_u3691_o ,\u_logic/Tu3ju6 }),
.d({\u_logic/Hv3ju6_lutinv ,\u_logic/_al_u3913_o }),
.e({open_n129284,\u_logic/Hv3ju6_lutinv }),
.f({\u_logic/_al_u3692_o ,\u_logic/_al_u3914_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17630)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u369|u_logic/Aurpw6_reg (
.a({\u_logic/Wanow6_lutinv ,\u_logic/N9now6_lutinv }),
.b({\u_logic/N9now6_lutinv ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r1_o[7] ,\u_logic/vis_r1_o[9] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[7] ,\u_logic/vis_r4_o[9] }),
.mi({open_n129315,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u369_o ,\u_logic/_al_u327_o }),
.q({open_n129320,\u_logic/vis_r5_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(17630)
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*B)*~(~0*A))"),
//.LUT1("(~C*~(~D*B)*~(~1*A))"),
.INIT_LUT0(16'b0000010100000001),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3700 (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3699_o ,\u_logic/_al_u3699_o }),
.d({\u_logic/E90iu6 ,\u_logic/E90iu6 }),
.mi({open_n129333,\u_logic/K50iu6 }),
.fx({open_n129338,\u_logic/_al_u3700_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0011000000111111),
.MODE("LOGIC"))
\u_logic/_al_u3701|u_logic/_al_u1660 (
.b({\u_logic/_al_u3700_o ,\u_logic/_al_u1450_o }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/Fkrpw6 }),
.d({\u_logic/_al_u3696_o ,\u_logic/_al_u1448_o }),
.f({\u_logic/Fyfow6_lutinv ,\u_logic/_al_u1660_o }));
EG_PHY_MSLICE #(
//.LUT0("~(A*~(D)*~((0*(C@B)))+A*D*~((0*(C@B)))+~(A)*D*(0*(C@B))+A*D*(0*(C@B)))"),
//.LUT1("~(A*~(D)*~((1*(C@B)))+A*D*~((1*(C@B)))+~(A)*D*(1*(C@B))+A*D*(1*(C@B)))"),
.INIT_LUT0(16'b0101010101010101),
.INIT_LUT1(16'b0100000101111101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3702 (
.a({\u_logic/Fyfow6_lutinv ,\u_logic/Fyfow6_lutinv }),
.b({\u_logic/V2kow6_lutinv ,\u_logic/V2kow6_lutinv }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/_al_u3673_o ,\u_logic/_al_u3673_o }),
.mi({open_n129375,\u_logic/Ufopw6 }),
.fx({open_n129380,\u_logic/_al_u3702_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~A*~(C*B)))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(D*~(~A*~(C*B)))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1110101000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1110101000000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3703|u_logic/_al_u4542 (
.a({open_n129383,\u_logic/_al_u3692_o }),
.b({open_n129384,\u_logic/_al_u3687_o }),
.c({\u_logic/_al_u3702_o ,\u_logic/_al_u3673_o }),
.d({\u_logic/Eb4ju6_lutinv ,\u_logic/_al_u4454_o }),
.f({\u_logic/Ca4ju6_lutinv ,\u_logic/_al_u4542_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*B)*~(~0*A))"),
//.LUT1("(~C*~(~D*B)*~(~1*A))"),
.INIT_LUT0(16'b0000010100000001),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3706 (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3705_o ,\u_logic/_al_u3705_o }),
.d({\u_logic/H70iu6 ,\u_logic/H70iu6 }),
.mi({open_n129421,\u_logic/_al_u307_o }),
.fx({open_n129426,\u_logic/_al_u3706_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0001000100000101),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0001000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3707|u_logic/_al_u3717 (
.a({\u_logic/_al_u3704_o ,open_n129429}),
.b({\u_logic/Nweow6 ,open_n129430}),
.c({\u_logic/_al_u3706_o ,\u_logic/Ys4ju6 }),
.d({\u_logic/Hv3ju6_lutinv ,\u_logic/Mt4ju6 }),
.f({\u_logic/_al_u3707_o ,\u_logic/Cg5ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(C*~(B*D))"),
.INIT_LUT0(16'b0000100000101010),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"))
\u_logic/_al_u3708|u_logic/_al_u3699 (
.a({open_n129455,\u_logic/_al_u3651_o }),
.b({\u_logic/Svzhu6 ,\u_logic/Y1qow6 }),
.c({\u_logic/_al_u413_o ,\u_logic/Dc0iu6 }),
.d({\u_logic/Zvzhu6 ,\u_logic/F60iu6 }),
.f({\u_logic/_al_u3708_o ,\u_logic/_al_u3699_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u370|u_logic/_al_u493 (
.a({\u_logic/C7now6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/Panow6_lutinv ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r6_o[7] ,\u_logic/vis_r3_o[7] }),
.d({\u_logic/vis_r3_o[7] ,\u_logic/vis_r2_o[7] }),
.f({\u_logic/_al_u370_o ,\u_logic/_al_u493_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3710|u_logic/_al_u3709 (
.a({\u_logic/_al_u3709_o ,\u_logic/Rskax6 }),
.b({\u_logic/_al_u161_o ,\u_logic/U1kpw6 }),
.c({\u_logic/R9mpw6 ,\u_logic/Ubypw6 }),
.d({\u_logic/Sqkax6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u3710_o ,\u_logic/_al_u3709_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*~A))"),
//.LUTF1("(~C*~A*~(D*~B))"),
//.LUTG0("(~(D*B)*~(C*~A))"),
//.LUTG1("(~C*~A*~(D*~B))"),
.INIT_LUTF0(16'b0010001110101111),
.INIT_LUTF1(16'b0000010000000101),
.INIT_LUTG0(16'b0010001110101111),
.INIT_LUTG1(16'b0000010000000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3711|u_logic/_al_u3655 (
.a({\u_logic/_al_u3708_o ,\u_logic/Nwzhu6 }),
.b({\u_logic/Gwzhu6 ,\u_logic/_al_u1089_o }),
.c({\u_logic/_al_u3710_o ,\u_logic/_al_u413_o }),
.d({\u_logic/_al_u413_o ,\u_logic/Ubypw6 }),
.f({\u_logic/_al_u3711_o ,\u_logic/_al_u3655_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~D*C*~B*A))"),
//.LUT1("(~1*~(~D*C*~B*A))"),
.INIT_LUT0(16'b1111111111011111),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3713 (
.a({\u_logic/_al_u3686_o ,\u_logic/_al_u3686_o }),
.b({\u_logic/_al_u3692_o ,\u_logic/_al_u3692_o }),
.c({\u_logic/Ca4ju6_lutinv ,\u_logic/Ca4ju6_lutinv }),
.d({\u_logic/_al_u3707_o ,\u_logic/_al_u3707_o }),
.mi({open_n129560,\u_logic/_al_u3712_o }),
.fx({open_n129565,\u_logic/_al_u3713_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*(~(B)*~(C)*~(D)*~(0)+B*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*C*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0))"),
//.LUT1("(~A*(~(B)*~(C)*~(D)*~(1)+B*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*C*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1))"),
.INIT_LUT0(16'b0000000000010101),
.INIT_LUT1(16'b0000010100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3718 (
.a({\u_logic/Mt4ju6 ,\u_logic/Mt4ju6 }),
.b({\u_logic/_al_u686_o ,\u_logic/_al_u686_o }),
.c({\u_logic/_al_u1095_o ,\u_logic/_al_u1095_o }),
.d({\u_logic/_al_u2308_o ,\u_logic/_al_u2308_o }),
.mi({open_n129580,\u_logic/Yvjpw6 }),
.fx({open_n129585,\u_logic/Wc5ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u371|u_logic/_al_u492 (
.a({\u_logic/V6now6_lutinv ,\u_logic/Cpqow6 }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Kmqow6 }),
.c({\u_logic/vis_r2_o[7] ,\u_logic/vis_r0_o[7] }),
.d({\u_logic/vis_r4_o[7] ,\u_logic/vis_r6_o[7] }),
.f({\u_logic/_al_u371_o ,\u_logic/_al_u492_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*~A*~(~D*B))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000010100000001),
.MODE("LOGIC"))
\u_logic/_al_u3720|u_logic/_al_u2308 (
.a({\u_logic/_al_u1149_o ,open_n129612}),
.b({\u_logic/_al_u670_o ,open_n129613}),
.c({\u_logic/_al_u975_o ,\u_logic/Xxupw6 }),
.d({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u3720_o ,\u_logic/_al_u2308_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("(~D*~B*~(C*A))"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b0000000000010011),
.MODE("LOGIC"))
\u_logic/_al_u3724|u_logic/_al_u3775 (
.a({\u_logic/By4ju6 ,\u_logic/By4ju6 }),
.b({\u_logic/_al_u3723_o ,\u_logic/_al_u3723_o }),
.c({\u_logic/Nxkbx6 [21],\u_logic/Nxkbx6 [19]}),
.d({\u_logic/n159 [2],\u_logic/n159 [0]}),
.f({\u_logic/_al_u3724_o ,\u_logic/_al_u3775_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~D))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(B*~(C*~D))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b1100110000001100),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1100110000001100),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3726|u_logic/_al_u3776 (
.b({\u_logic/_al_u3724_o ,\u_logic/_al_u3775_o }),
.c({\u_logic/_al_u3725_o ,\u_logic/_al_u3725_o }),
.d({\u_logic/_al_u1328_o ,\u_logic/_al_u1315_o }),
.f({\u_logic/_al_u3726_o ,\u_logic/_al_u3776_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19797)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(C*(~(0*A)*~(B)*~(D)+~(0*A)*B*~(D)+~(~(0*A))*B*D+~(0*A)*B*D))"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(C*(~(1*A)*~(B)*~(D)+~(1*A)*B*~(D)+~(~(1*A))*B*D+~(1*A)*B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b1100000011110000),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b1100000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3727|u_logic/Zh8bx6_reg (
.a({\u_logic/B4epw6 ,\u_logic/N3epw6 }),
.b({\u_logic/Fv9ju6_lutinv ,\u_logic/_al_u790_o }),
.c({\u_logic/_al_u3726_o ,\u_logic/Cg5ju6_lutinv }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/_al_u804_o ,\u_logic/Wc5ju6_lutinv }),
.e({\u_logic/Ys4ju6 ,open_n129680}),
.mi({open_n129682,\u_logic/Zfmiu6 }),
.f({\u_logic/Z1miu6 ,\u_logic/_al_u3774_o }),
.q({open_n129698,\u_logic/vis_r14_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19797)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*~(C*A))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~D*~B*~(C*A))"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000000000010011),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000000000010011),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3729|u_logic/_al_u3752 (
.a({\u_logic/By4ju6 ,\u_logic/By4ju6 }),
.b({\u_logic/_al_u3723_o ,\u_logic/_al_u3723_o }),
.c(\u_logic/Nxkbx6 [15:14]),
.d({\u_logic/mult0_0_0_14 ,\u_logic/mult0_0_0_13 }),
.f({\u_logic/_al_u3729_o ,\u_logic/_al_u3752_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u372|u_logic/_al_u491 (
.a({\u_logic/U9now6_lutinv ,\u_logic/Mnqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Voqow6 }),
.c({\u_logic/vis_r5_o[7] ,\u_logic/vis_r1_o[7] }),
.d({\u_logic/vis_r0_o[7] ,\u_logic/vis_r4_o[7] }),
.f({\u_logic/_al_u372_o ,\u_logic/_al_u491_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*~(C*~D))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"))
\u_logic/_al_u3730|u_logic/_al_u2582 (
.a({open_n129743,\u_logic/_al_u2301_o }),
.b({\u_logic/_al_u3729_o ,\u_logic/_al_u2293_o }),
.c({\u_logic/_al_u3725_o ,\u_logic/_al_u839_o }),
.d({\u_logic/_al_u1291_o ,\u_logic/N5fpw6 [24]}),
.f({\u_logic/_al_u3730_o ,\u_logic/_al_u2582_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1010000011110000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1010000000110000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3733|u_logic/_al_u3736 (
.a({open_n129764,\u_logic/P98ju6_lutinv }),
.b({\u_logic/Cg5ju6_lutinv ,\u_logic/Qbfpw6 [10]}),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/_al_u3735_o }),
.d({\u_logic/Qbfpw6 [10],\u_logic/_al_u945_o }),
.e({open_n129767,\u_logic/Ys4ju6 }),
.f({\u_logic/P98ju6_lutinv ,\u_logic/_al_u3736_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3735|u_logic/_al_u296 (
.b({\u_logic/_al_u3734_o ,open_n129790}),
.c({\u_logic/_al_u3725_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/Ka8ju6 ,\u_logic/H70iu6 }),
.f({\u_logic/_al_u3735_o ,\u_logic/Mifpw6 [26]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3737|u_logic/_al_u3742 (
.b({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/U3epw6 ,\u_logic/I4epw6 }),
.f({\u_logic/Er9ju6_lutinv ,\u_logic/Gz9ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(20090)
EG_PHY_LSLICE #(
//.LUTF0("(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*~(D)*~(0)+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*~(0)+~(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))*D*0+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*0)"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*~(D)*~(1)+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*~(1)+~(~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))*D*1+~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)*D*1)"),
//.LUTG1("(~D*~B*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101001101010011),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3738|u_logic/Vpgbx6_reg (
.a({\u_logic/By4ju6 ,\u_logic/_al_u1341_o }),
.b({\u_logic/_al_u3723_o ,\u_logic/_al_u1191_o }),
.c({\u_logic/Nxkbx6 [20],\u_logic/_al_u1307_o }),
.ce(\u_logic/n1200 ),
.clk(clk_pad),
.d({\u_logic/n159 [1],\u_logic/P74iu6 }),
.e({open_n129841,\u_logic/Wvgax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3738_o ,HWDATA[22]}),
.q({open_n129859,\u_logic/Vpgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20090)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(B*~(C*~D))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"))
\u_logic/_al_u3739|u_logic/_al_u507 (
.b({\u_logic/_al_u3738_o ,open_n129862}),
.c({\u_logic/_al_u3725_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u1322_o ,\u_logic/J10iu6 }),
.f({\u_logic/_al_u3739_o ,\u_logic/Tgfpw6 [17]}));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUT1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3740 (
.a({\u_logic/Er9ju6_lutinv ,\u_logic/Er9ju6_lutinv }),
.b({\u_logic/U3epw6 ,\u_logic/U3epw6 }),
.c({\u_logic/_al_u3739_o ,\u_logic/_al_u3739_o }),
.d({\u_logic/_al_u797_o ,\u_logic/_al_u797_o }),
.mi({open_n129895,\u_logic/Ys4ju6 }),
.fx({open_n129900,\u_logic/Y4miu6 }));
EG_PHY_MSLICE #(
//.LUT0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0111111101001100),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3741|u_logic/_al_u818 (
.a({open_n129903,\u_logic/_al_u817_o }),
.b({open_n129904,\u_logic/_al_u673_o }),
.c({\u_logic/Y4miu6 ,\u_logic/J80iu6 }),
.d({\u_logic/_al_u3736_o ,\u_logic/Tlebx6 }),
.f({\u_logic/_al_u3741_o ,\u_logic/_al_u818_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~D*~B*~(C*A))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000010011),
.MODE("LOGIC"))
\u_logic/_al_u3743|u_logic/_al_u314 (
.a({\u_logic/By4ju6 ,open_n129925}),
.b({\u_logic/_al_u3723_o ,open_n129926}),
.c({\u_logic/Nxkbx6 [22],\u_logic/Xuzhu6 }),
.d({\u_logic/n159 [3],\u_logic/M60iu6 }),
.f({\u_logic/_al_u3743_o ,\u_logic/Mifpw6 [29]}));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3744|u_logic/_al_u489 (
.b({\u_logic/_al_u3743_o ,open_n129949}),
.c({\u_logic/_al_u3725_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u1334_o ,\u_logic/E20iu6 }),
.f({\u_logic/_al_u3744_o ,\u_logic/Tgfpw6 [14]}));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUT1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3745 (
.a({\u_logic/Gz9ju6_lutinv ,\u_logic/Gz9ju6_lutinv }),
.b({\u_logic/I4epw6 ,\u_logic/I4epw6 }),
.c({\u_logic/_al_u3744_o ,\u_logic/_al_u3744_o }),
.d({\u_logic/_al_u811_o ,\u_logic/_al_u811_o }),
.mi({open_n129986,\u_logic/Ys4ju6 }),
.fx({open_n129991,\u_logic/Azliu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18788)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3746|u_logic/N3oax6_reg (
.a({open_n129994,\u_logic/_al_u1340_o }),
.b({\u_logic/_al_u3741_o ,\u_logic/Tzzhu6 }),
.c({\u_logic/Azliu6 ,\u_logic/Dfqow6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/_al_u3732_o ,\u_logic/Tlebx6 }),
.mi({open_n130005,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u3746_o ,\u_logic/_al_u1341_o }),
.q({open_n130010,\u_logic/vis_r14_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(18788)
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b1010000011110000),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b1010000000110000),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3747|u_logic/_al_u3827 (
.a({\u_logic/Z2epw6 ,\u_logic/Oi9ju6_lutinv }),
.b({\u_logic/_al_u776_o ,\u_logic/G3epw6 }),
.c({\u_logic/Cg5ju6_lutinv ,\u_logic/_al_u3826_o }),
.d({\u_logic/Wc5ju6_lutinv ,\u_logic/_al_u783_o }),
.e({open_n130013,\u_logic/Ys4ju6 }),
.f({\u_logic/_al_u3747_o ,\u_logic/Wamiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*~(C*A))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~D*~B*~(C*A))"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000000000010011),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000000000010011),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3748|u_logic/_al_u3825 (
.a({\u_logic/By4ju6 ,\u_logic/By4ju6 }),
.b({\u_logic/_al_u3723_o ,\u_logic/_al_u3723_o }),
.c({\u_logic/Nxkbx6 [17],\u_logic/Nxkbx6 [18]}),
.d({\u_logic/mult0_0_0_16 ,\u_logic/mult0_0_0_17 }),
.f({\u_logic/_al_u3748_o ,\u_logic/_al_u3825_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u374|u_logic/_al_u4526 (
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u4454_o }),
.d({\u_logic/I40iu6 ,\u_logic/Hv3ju6_lutinv }),
.f({\u_logic/Mifpw6 [7],\u_logic/Dyeow6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18760)
EG_PHY_LSLICE #(
//.LUTF0("~(D*C*~B*~A)"),
//.LUTF1("(A*~(D*~C*B))"),
//.LUTG0("~(D*C*~B*~A)"),
//.LUTG1("(A*~(D*~C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111111111111),
.INIT_LUTF1(16'b1010001010101010),
.INIT_LUTG0(16'b1110111111111111),
.INIT_LUTG1(16'b1010001010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3750|u_logic/Wjmax6_reg (
.a({\u_logic/_al_u3749_o ,\u_logic/_al_u4574_o }),
.b({\u_logic/Z2epw6 ,\u_logic/_al_u4611_o }),
.c({\u_logic/_al_u776_o ,\u_logic/_al_u4613_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/Ys4ju6 ,\u_logic/_al_u3731_o }),
.f({\u_logic/Vdmiu6 ,\u_logic/Rimiu6 }),
.q({open_n130102,\u_logic/vis_r10_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18760)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111101101110011),
.INIT_LUT1(16'b1101100101010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3751 (
.a({\u_logic/Q1epw6 ,\u_logic/Q1epw6 }),
.b({\u_logic/_al_u755_o ,\u_logic/_al_u755_o }),
.c({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.mi({open_n130115,\u_logic/Ys4ju6 }),
.fx({open_n130120,\u_logic/_al_u3751_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20003)
EG_PHY_MSLICE #(
//.LUT0("~(C*B*~D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100111111),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3754|u_logic/Tzebx6_reg (
.b({\u_logic/Cg5ju6_lutinv ,\u_logic/_al_u4563_o }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/Uvliu6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/W4epw6 ,\u_logic/_al_u4471_o }),
.f({\u_logic/Js7ju6_lutinv ,\u_logic/Zuliu6 }),
.q({open_n130141,\u_logic/vis_r10_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20003)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*~(C*A))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~D*~B*~(C*A))"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000000000010011),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000000000010011),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3759|u_logic/_al_u3789 (
.a({\u_logic/By4ju6 ,\u_logic/By4ju6 }),
.b({\u_logic/_al_u3723_o ,\u_logic/_al_u3723_o }),
.c({\u_logic/Nxkbx6 [16],\u_logic/Nxkbx6 [13]}),
.d({\u_logic/mult0_0_0_15 ,\u_logic/mult0_0_0_12 }),
.f({\u_logic/_al_u3759_o ,\u_logic/_al_u3789_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*A*~(D*~B))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(C*A*~(D*~B))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b1000000010100000),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1000000010100000),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3760|u_logic/_al_u3753 (
.a({open_n130166,\u_logic/_al_u3751_o }),
.b({\u_logic/_al_u3759_o ,\u_logic/_al_u1283_o }),
.c({\u_logic/_al_u3725_o ,\u_logic/_al_u3752_o }),
.d({\u_logic/_al_u1299_o ,\u_logic/_al_u3725_o }),
.f({\u_logic/_al_u3760_o ,\u_logic/_al_u3753_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3762|u_logic/_al_u861 (
.a({\u_logic/Vdmiu6 ,open_n130191}),
.b({\u_logic/_al_u3753_o ,open_n130192}),
.c({\u_logic/_al_u3757_o ,\u_logic/_al_u694_o }),
.d({\u_logic/Ngmiu6 ,\u_logic/_al_u860_o }),
.f({\u_logic/_al_u3762_o ,\u_logic/Idfpw6 [28]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b1111101101110011),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b1101100101010001),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3763|u_logic/_al_u3833 (
.a({\u_logic/Qbfpw6 [23],\u_logic/Qbfpw6 [24]}),
.b({\u_logic/_al_u825_o ,\u_logic/_al_u832_o }),
.c({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.e({open_n130219,\u_logic/Ys4ju6 }),
.f({\u_logic/Of5ju6_lutinv ,\u_logic/Rx6ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(19662)
EG_PHY_LSLICE #(
//.LUTF0("(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*~(D)*~(0)+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*~(0)+~(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))*D*0+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*0)"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*~(D)*~(1)+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*~(1)+~(~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))*D*1+~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)*D*1)"),
//.LUTG1("(~D*~B*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011010100110101),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3764|u_logic/Yt4bx6_reg (
.a({\u_logic/By4ju6 ,\u_logic/_al_u1197_o }),
.b({\u_logic/_al_u3723_o ,\u_logic/_al_u1348_o }),
.c({\u_logic/Nxkbx6 [24],\u_logic/_al_u1307_o }),
.ce(\u_logic/n1110 ),
.clk(clk_pad),
.d({\u_logic/n159 [5],\u_logic/W74iu6 }),
.e({open_n130240,\u_logic/Wvgax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3764_o ,HWDATA[23]}),
.q({open_n130258,\u_logic/Yt4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19662)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*~A*~(D*~B))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*~A*~(D*~B))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0100000001010000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0100000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3765|u_logic/_al_u561 (
.a({\u_logic/Of5ju6_lutinv ,open_n130259}),
.b({\u_logic/_al_u1348_o ,open_n130260}),
.c({\u_logic/_al_u3764_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u3725_o ,\u_logic/Lvzhu6 }),
.f({\u_logic/_al_u3765_o ,\u_logic/Tgfpw6 [8]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(D*~C*B))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(D*~C*B))"),
.INIT_LUTF0(16'b1111101101110011),
.INIT_LUTF1(16'b1010001010101010),
.INIT_LUTG0(16'b1101100101010001),
.INIT_LUTG1(16'b1010001010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3766|u_logic/_al_u3837 (
.a({\u_logic/_al_u3765_o ,\u_logic/Qbfpw6 [29]}),
.b({\u_logic/Qbfpw6 [23],\u_logic/_al_u867_o }),
.c({\u_logic/_al_u825_o ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/Ys4ju6 ,\u_logic/Wc5ju6_lutinv }),
.e({open_n130287,\u_logic/Ys4ju6 }),
.f({\u_logic/Evkiu6 ,\u_logic/L87ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111111101110011),
.INIT_LUT1(16'b1101100101010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3767 (
.a({\u_logic/Qbfpw6 [28],\u_logic/Qbfpw6 [28]}),
.b({\u_logic/_al_u860_o ,\u_logic/_al_u860_o }),
.c({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.mi({open_n130320,\u_logic/Ys4ju6 }),
.fx({open_n130325,\u_logic/Lm7ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~(D*~A)))"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b0100110000001100),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\u_logic/_al_u3769|u_logic/_al_u4716 (
.a({open_n130328,\u_logic/_al_u4297_o }),
.b({\u_logic/Rskax6 ,\u_logic/Xbcow6_lutinv }),
.c({\u_logic/Ubypw6 ,\u_logic/Iimow6 }),
.d({\u_logic/T05ju6 ,\u_logic/_al_u4450_o }),
.f({\u_logic/I55ju6 ,\u_logic/_al_u4716_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3770|u_logic/_al_u4664 (
.a({\u_logic/I55ju6 ,open_n130349}),
.b({\u_logic/_al_u3723_o ,\u_logic/U6qow6_lutinv }),
.c({\u_logic/vis_apsr_o[0] ,\u_logic/Et8iu6_lutinv }),
.d({\u_logic/n159 [10],\u_logic/_al_u2647_o }),
.f({\u_logic/Ok7ju6 ,\u_logic/Cmziu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~D))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b1100110000001100),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3771|u_logic/_al_u3851 (
.b({\u_logic/By4ju6 ,\u_logic/Uj4ju6 }),
.c({\u_logic/Nxkbx6 [29],\u_logic/_al_u3725_o }),
.d({\u_logic/Ok7ju6 ,\u_logic/_al_u1177_o }),
.f({\u_logic/_al_u3771_o ,\u_logic/_al_u3851_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(C*A*~(D*~B))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(C*A*~(D*~B))"),
.INIT_LUTF0(16'b1111101101110011),
.INIT_LUTF1(16'b1000000010100000),
.INIT_LUTG0(16'b1101100101010001),
.INIT_LUTG1(16'b1000000010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3772|u_logic/_al_u3793 (
.a({\u_logic/Lm7ju6_lutinv ,\u_logic/Qbfpw6 [1]}),
.b({\u_logic/_al_u1386_o ,\u_logic/_al_u699_o }),
.c({\u_logic/_al_u3771_o ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/_al_u3725_o ,\u_logic/Wc5ju6_lutinv }),
.e({open_n130398,\u_logic/Ys4ju6 }),
.f({\u_logic/Kgoiu6 ,\u_logic/Tc7ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3773|u_logic/_al_u3861 (
.a({\u_logic/_al_u3746_o ,\u_logic/Qbfpw6 [25]}),
.b({\u_logic/_al_u3762_o ,\u_logic/_al_u839_o }),
.c({\u_logic/Evkiu6 ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/Kgoiu6 ,\u_logic/Wc5ju6_lutinv }),
.f({\u_logic/_al_u3773_o ,\u_logic/Yh8ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18892)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTF1("(C*~A*~(0*~D*B))"),
//.LUTG0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG1("(C*~A*~(1*~D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111101001100),
.INIT_LUTF1(16'b0101000001010000),
.INIT_LUTG0(16'b0111111101001100),
.INIT_LUTG1(16'b0101000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3777|u_logic/Eutax6_reg (
.a({\u_logic/_al_u3774_o ,\u_logic/_al_u824_o }),
.b({\u_logic/N3epw6 ,\u_logic/_al_u673_o }),
.c({\u_logic/_al_u3776_o ,\u_logic/C80iu6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u790_o ,\u_logic/Ztgbx6 }),
.e({\u_logic/Ys4ju6 ,open_n130443}),
.mi({open_n130445,\u_logic/Bomiu6 }),
.f({\u_logic/X7miu6 ,\u_logic/_al_u825_o }),
.q({open_n130461,\u_logic/vis_r12_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(18892)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\u_logic/_al_u3778|u_logic/_al_u3824 (
.b({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/P4epw6 ,\u_logic/G3epw6 }),
.f({\u_logic/Z5aju6_lutinv ,\u_logic/Oi9ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~D))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(B*~(C*~D))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b1100110000001100),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1100110000001100),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3780|u_logic/_al_u3826 (
.b({\u_logic/_al_u3779_o ,\u_logic/_al_u3825_o }),
.c({\u_logic/_al_u3725_o ,\u_logic/_al_u3725_o }),
.d({\u_logic/_al_u1341_o ,\u_logic/_al_u1306_o }),
.f({\u_logic/_al_u3780_o ,\u_logic/_al_u3826_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUT1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3781 (
.a({\u_logic/Z5aju6_lutinv ,\u_logic/Z5aju6_lutinv }),
.b({\u_logic/P4epw6 ,\u_logic/P4epw6 }),
.c({\u_logic/_al_u3780_o ,\u_logic/_al_u3780_o }),
.d({\u_logic/_al_u818_o ,\u_logic/_al_u818_o }),
.mi({open_n130522,\u_logic/Ys4ju6 }),
.fx({open_n130527,\u_logic/Uvliu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*~B))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~D*~(C*~B))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000000011001111),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000000011001111),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3783|u_logic/_al_u2475 (
.b({\u_logic/Sqkax6 ,\u_logic/_al_u2308_o }),
.c({\u_logic/Ubypw6 ,\u_logic/P5vpw6 }),
.d({\u_logic/T05ju6 ,\u_logic/_al_u2474_o }),
.f({\u_logic/Pk4ju6 ,\u_logic/Jl8iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3786|u_logic/_al_u471 (
.b({\u_logic/By4ju6 ,open_n130558}),
.c({\u_logic/Nxkbx6 [1],\u_logic/Xuzhu6 }),
.d({\u_logic/Wy4ju6 ,\u_logic/Gwzhu6 }),
.f({\u_logic/_al_u3786_o ,\u_logic/Tgfpw6 [5]}));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*~(C*~D))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"))
\u_logic/_al_u3790|u_logic/_al_u2358 (
.a({open_n130583,\u_logic/_al_u2301_o }),
.b({\u_logic/_al_u3789_o ,\u_logic/_al_u2293_o }),
.c({\u_logic/_al_u3725_o ,\u_logic/_al_u867_o }),
.d({\u_logic/_al_u1274_o ,\u_logic/N5fpw6 [28]}),
.f({\u_logic/_al_u3790_o ,\u_logic/_al_u2358_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(~0*D)*~(A)*~(B)+~(~0*D)*A*~(B)+~(~(~0*D))*A*B+~(~0*D)*A*B))"),
//.LUT1("(C*(~(~1*D)*~(A)*~(B)+~(~1*D)*A*~(B)+~(~(~1*D))*A*B+~(~1*D)*A*B))"),
.INIT_LUT0(16'b1000000010110000),
.INIT_LUT1(16'b1011000010110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3791 (
.a({\u_logic/_al_u3788_o ,\u_logic/_al_u3788_o }),
.b({\u_logic/J1epw6 ,\u_logic/J1epw6 }),
.c({\u_logic/_al_u3790_o ,\u_logic/_al_u3790_o }),
.d({\u_logic/_al_u748_o ,\u_logic/_al_u748_o }),
.mi({open_n130616,\u_logic/Cg5ju6_lutinv }),
.fx({open_n130621,\u_logic/_al_u3791_o }));
EG_PHY_MSLICE #(
//.LUT0("~(D@(C*~(B*~A)))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b1011000001001111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3792|u_logic/_al_u1640 (
.a({\u_logic/X7miu6 ,\u_logic/_al_u1291_o }),
.b({\u_logic/Uvliu6 ,\u_logic/_al_u1442_o }),
.c({\u_logic/Ibliu6 ,\u_logic/_al_u1639_o }),
.d({\u_logic/_al_u3791_o ,\u_logic/_al_u1446_o }),
.f({\u_logic/_al_u3792_o ,\u_logic/X1epw6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~D))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b1100110000001100),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3794|u_logic/_al_u4568 (
.a({\u_logic/T05ju6 ,open_n130644}),
.b({\u_logic/vis_control_o ,\u_logic/Mjnow6 }),
.c({\u_logic/Rskax6 ,\u_logic/_al_u4450_o }),
.d({\u_logic/Ubypw6 ,\u_logic/N0viu6 }),
.f({\u_logic/Rb7ju6 ,\u_logic/H78ow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~C*~B*~(D*A))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~1*~C*~B*~(D*A))"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000000100000011),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3795|u_logic/_al_u3785 (
.a({\u_logic/Pk4ju6 ,\u_logic/Pk4ju6 }),
.b({\u_logic/Rb7ju6 ,\u_logic/_al_u3784_o }),
.c({\u_logic/vis_ipsr_o[1] ,\u_logic/_al_u3723_o }),
.d({\u_logic/mult0_0_0_1 ,\u_logic/vis_ipsr_o[0] }),
.e({open_n130667,\u_logic/mult0_0_0_0 }),
.f({\u_logic/Pa7ju6 ,\u_logic/Wy4ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(B*~(C*~D))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"))
\u_logic/_al_u3796|u_logic/_al_u218 (
.b({\u_logic/Pa7ju6 ,open_n130690}),
.c({\u_logic/_al_u3725_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u1202_o ,\u_logic/Ua0iu6 }),
.f({\u_logic/_al_u3796_o ,\u_logic/Mifpw6 [14]}));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111101101110011),
.INIT_LUT1(16'b1101100101010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3798 (
.a({\u_logic/Qbfpw6 [5],\u_logic/Qbfpw6 [5]}),
.b({\u_logic/_al_u924_o ,\u_logic/_al_u924_o }),
.c({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.mi({open_n130723,\u_logic/Ys4ju6 }),
.fx({open_n130728,\u_logic/K56ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*~(C*A))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(~D*~B*~(C*A))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b0000000000010011),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b0000000000010011),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3800|u_logic/_al_u3862 (
.a({open_n130731,\u_logic/By4ju6 }),
.b({\u_logic/I46ju6 ,\u_logic/_al_u3723_o }),
.c({\u_logic/_al_u3725_o ,\u_logic/Nxkbx6 [26]}),
.d({\u_logic/_al_u1185_o ,\u_logic/n159 [7]}),
.f({\u_logic/_al_u3800_o ,\u_logic/_al_u3862_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u3801|u_logic/_al_u2361 (
.a({\u_logic/K56ju6_lutinv ,open_n130756}),
.b({\u_logic/_al_u3800_o ,\u_logic/A3iiu6 }),
.c({\u_logic/By4ju6 ,\u_logic/Nxkbx6 [31]}),
.d({\u_logic/Nxkbx6 [6],\u_logic/_al_u2360_o }),
.f({\u_logic/Lokiu6 ,\u_logic/_al_u2361_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19802)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3802|u_logic/Zr8bx6_reg (
.b({\u_logic/Cg5ju6_lutinv ,\u_logic/_al_u4597_o }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/_al_u3819_o }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/E2epw6 ,\u_logic/_al_u4574_o }),
.f({\u_logic/Fk6ju6_lutinv ,\u_logic/Vrmiu6 }),
.q({open_n130799,\u_logic/vis_msp_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(19802)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*~A)"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~D*C*B*~A)"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000000001000000),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000000001000000),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3803|u_logic/_al_u1267 (
.a({\u_logic/By4ju6 ,\u_logic/_al_u1170_o }),
.b({\u_logic/_al_u3723_o ,\u_logic/J71iu6_lutinv }),
.c({\u_logic/Nxkbx6 [7],\u_logic/I8lax6 }),
.d({\u_logic/mult0_0_0_6 ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u3803_o ,\u_logic/_al_u1267_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19898)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*~(B*~A)))"),
//.LUT1("(B*~(C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111100001111),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3804|u_logic/Nxabx6_reg (
.a({open_n130824,\u_logic/_al_u4471_o }),
.b({\u_logic/_al_u3803_o ,\u_logic/_al_u4563_o }),
.c({\u_logic/_al_u3725_o ,\u_logic/_al_u4666_o }),
.clk(clk_pad),
.d({\u_logic/_al_u1191_o ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u3804_o ,open_n130838}),
.q({open_n130842,\u_logic/vis_pc_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(19898)
EG_PHY_MSLICE #(
//.LUT0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUT1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3805 (
.a({\u_logic/Fk6ju6_lutinv ,\u_logic/Fk6ju6_lutinv }),
.b({\u_logic/E2epw6 ,\u_logic/E2epw6 }),
.c({\u_logic/_al_u3804_o ,\u_logic/_al_u3804_o }),
.d({\u_logic/_al_u881_o ,\u_logic/_al_u881_o }),
.mi({open_n130855,\u_logic/Ys4ju6 }),
.fx({open_n130860,\u_logic/_al_u3805_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111101101110011),
.INIT_LUT1(16'b1101100101010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3806 (
.a({\u_logic/Qbfpw6 [3],\u_logic/Qbfpw6 [3]}),
.b({\u_logic/_al_u917_o ,\u_logic/_al_u917_o }),
.c({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.mi({open_n130875,\u_logic/Ys4ju6 }),
.fx({open_n130880,\u_logic/Zv5ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17713)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*~(B*~A)))"),
//.LUT1("(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111100001111),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3808|u_logic/Equpw6_reg (
.a({open_n130883,\u_logic/_al_u4629_o }),
.b({\u_logic/By4ju6 ,\u_logic/_al_u4656_o }),
.c({\u_logic/Nxkbx6 [4],\u_logic/_al_u4792_o }),
.clk(clk_pad),
.d({\u_logic/_al_u3807_o ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u3808_o ,open_n130897}),
.q({open_n130901,\u_logic/vis_pc_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17713)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*A*~(D*~B))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*A*~(D*~B))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1000000010100000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1000000010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3809|u_logic/_al_u483 (
.a({\u_logic/Zv5ju6_lutinv ,open_n130902}),
.b({\u_logic/_al_u1170_o ,open_n130903}),
.c({\u_logic/_al_u3808_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u3725_o ,\u_logic/Zvzhu6 }),
.f({\u_logic/Dkkiu6 ,\u_logic/Tgfpw6 [6]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(1*D*C*B*A)"),
.INIT_LUTF0(16'b1111101101110011),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1101100101010001),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3810|u_logic/_al_u3782 (
.a({\u_logic/_al_u3792_o ,\u_logic/Qbfpw6 [0]}),
.b({\u_logic/Bpliu6 ,\u_logic/Go0iu6_lutinv }),
.c({\u_logic/Lokiu6 ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/_al_u3805_o ,\u_logic/Wc5ju6_lutinv }),
.e({\u_logic/Dkkiu6 ,\u_logic/Ys4ju6 }),
.f({\u_logic/_al_u3810_o ,\u_logic/H15ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18902)
EG_PHY_LSLICE #(
//.LUTF0("~(C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("~(C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b1111101101110011),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b1101100101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3811|u_logic/Zduax6_reg (
.a({\u_logic/Qbfpw6 [2],open_n130950}),
.b({\u_logic/Gh0iu6_lutinv ,open_n130951}),
.c({\u_logic/Cg5ju6_lutinv ,\u_logic/Lokiu6 }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/Wc5ju6_lutinv ,\u_logic/_al_u4645_o }),
.e({\u_logic/Ys4ju6 ,open_n130952}),
.f({\u_logic/Am5ju6_lutinv ,\u_logic/Rkkiu6 }),
.q({open_n130971,\u_logic/vis_r4_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(18902)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~A*~(D*~C)))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(B*~(~A*~(D*~C)))"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b1000110010001000),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3813|u_logic/_al_u2602 (
.a({\u_logic/_al_u3723_o ,\u_logic/Ay8iu6 }),
.b({\u_logic/Rk5ju6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/vis_control_o ,\u_logic/U19iu6 }),
.d({\u_logic/mult0_0_0_2 ,\u_logic/vis_tbit_o }),
.f({\u_logic/_al_u3813_o ,\u_logic/_al_u2602_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(D*B)*~(0*A))"),
//.LUT1("(C*~(D*B)*~(1*A))"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0001000001010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3814 (
.a({\u_logic/By4ju6 ,\u_logic/By4ju6 }),
.b({\u_logic/Pk4ju6 ,\u_logic/Pk4ju6 }),
.c({\u_logic/_al_u3813_o ,\u_logic/_al_u3813_o }),
.d({\u_logic/vis_ipsr_o[2] ,\u_logic/vis_ipsr_o[2] }),
.mi({open_n131008,\u_logic/Nxkbx6 [3]}),
.fx({open_n131013,\u_logic/_al_u3814_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*A*~(D*~B))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1000000010100000),
.MODE("LOGIC"))
\u_logic/_al_u3815|u_logic/_al_u459 (
.a({\u_logic/Am5ju6_lutinv ,open_n131016}),
.b({\u_logic/_al_u1164_o ,open_n131017}),
.c({\u_logic/_al_u3814_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u3725_o ,\u_logic/Nwzhu6 }),
.f({\u_logic/Cgkiu6 ,\u_logic/Tgfpw6 [4]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18763)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3816|u_logic/Wpmax6_reg (
.b({\u_logic/Cg5ju6_lutinv ,\u_logic/_al_u4584_o }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/_al_u3736_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/C1epw6 ,\u_logic/_al_u4574_o }),
.f({\u_logic/Ro8ju6_lutinv ,\u_logic/Hymiu6 }),
.q({open_n131060,\u_logic/vis_r10_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(18763)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C)*~(0*B))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~A*~(D*C)*~(1*B))"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000010101010101),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3817|u_logic/_al_u4806 (
.a({\u_logic/By4ju6 ,\u_logic/_al_u4663_o }),
.b({\u_logic/_al_u3723_o ,\u_logic/Egziu6 }),
.c({\u_logic/Nxkbx6 [12],\u_logic/Cmziu6_lutinv }),
.d({\u_logic/mult0_0_0_11 ,\u_logic/Zsfpw6 [25]}),
.e({open_n131063,\u_logic/Nxkbx6 [27]}),
.f({\u_logic/_al_u3817_o ,\u_logic/_al_u4806_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3818|u_logic/_al_u2508 (
.a({open_n131084,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u3817_o ,\u_logic/_al_u2301_o }),
.c({\u_logic/_al_u3725_o ,\u_logic/_al_u825_o }),
.d({\u_logic/_al_u1265_o ,\u_logic/Nxkbx6 [24]}),
.f({\u_logic/_al_u3818_o ,\u_logic/_al_u2508_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18845)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u381|u_logic/U8rax6_reg (
.a({\u_logic/Wanow6_lutinv ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/Panow6_lutinv ,\u_logic/C7now6_lutinv }),
.c({\u_logic/vis_r3_o[11] ,\u_logic/vis_r6_o[10] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[11] ,\u_logic/vis_r7_o[10] }),
.mi({open_n131112,\u_logic/Hymiu6 }),
.f({\u_logic/L8now6 ,\u_logic/_al_u375_o }),
.q({open_n131128,\u_logic/vis_r2_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(18845)
// ../rtl/topmodule/cortexm0ds_logic.v(18762)
EG_PHY_MSLICE #(
//.LUT0("~(D*C*~B*~A)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111111111111),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3820|u_logic/Wnmax6_reg (
.a({open_n131129,\u_logic/_al_u4574_o }),
.b({\u_logic/Cg5ju6_lutinv ,\u_logic/_al_u4603_o }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/_al_u4605_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/Q5phu6 ,\u_logic/_al_u3791_o }),
.f({\u_logic/G18ju6_lutinv ,\u_logic/Bomiu6 }),
.q({open_n131146,\u_logic/vis_r10_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(18762)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0010100000001000),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3821|_al_u325 (
.a({\u_logic/By4ju6 ,_al_u320_o}),
.b({\u_logic/_al_u3723_o ,\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\u_logic/Nxkbx6 [10],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({\u_logic/mult0_0_0_9 ,RAMCODE_RDATA[18]}),
.e({open_n131149,RAMDATA_RDATA[18]}),
.f({\u_logic/_al_u3821_o ,HRDATA[18]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18522)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(D*~(~C*A)))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("~(B*~(D*~(~C*A)))"),
//.LUTG1("(B*~(C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011100110011),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1111011100110011),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3822|u_logic/Hphax6_reg (
.a({open_n131170,\u_logic/_al_u4600_o }),
.b({\u_logic/_al_u3821_o ,\u_logic/_al_u4774_o }),
.c({\u_logic/_al_u3725_o ,\u_logic/_al_u4601_o }),
.clk(clk_pad),
.d({\u_logic/I28ju6 ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u3822_o ,open_n131188}),
.q({open_n131192,\u_logic/vis_pc_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(18522)
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(0*~D*B))"),
//.LUTF1("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUTG0("(C*~A*~(1*~D*B))"),
//.LUTG1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUTF0(16'b0101000001010000),
.INIT_LUTF1(16'b1010000011110000),
.INIT_LUTG0(16'b0101000000010000),
.INIT_LUTG1(16'b1010000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3823|u_logic/_al_u3864 (
.a({\u_logic/G18ju6_lutinv ,\u_logic/Yh8ju6_lutinv }),
.b({\u_logic/Q5phu6 ,\u_logic/Qbfpw6 [25]}),
.c({\u_logic/_al_u3822_o ,\u_logic/_al_u3863_o }),
.d({\u_logic/_al_u888_o ,\u_logic/_al_u839_o }),
.e({\u_logic/Ys4ju6 ,\u_logic/Ys4ju6 }),
.f({\u_logic/_al_u3823_o ,\u_logic/_al_u3864_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3828 (
.a({open_n131215,\u_logic/Cgkiu6 }),
.b({open_n131216,\u_logic/_al_u3819_o }),
.c({open_n131217,\u_logic/_al_u3823_o }),
.d({open_n131220,\u_logic/Wamiu6 }),
.f({open_n131234,\u_logic/_al_u3828_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17812)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u382|u_logic/Cdwpw6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/Kmqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r2_o[11] ,\u_logic/vis_r6_o[16] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[11] ,\u_logic/vis_r4_o[16] }),
.mi({open_n131243,\u_logic/Admiu6 }),
.f({\u_logic/_al_u382_o ,\u_logic/_al_u611_o }),
.q({open_n131259,\u_logic/vis_r5_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(17812)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3830|u_logic/_al_u380 (
.a({\u_logic/I55ju6 ,open_n131260}),
.b({\u_logic/_al_u3723_o ,open_n131261}),
.c({\u_logic/vis_apsr_o[2] ,\u_logic/Xuzhu6 }),
.d({\u_logic/n159 [12],\u_logic/Wb0iu6 }),
.f({\u_logic/_al_u3830_o ,\u_logic/Mifpw6 [10]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(C*~A*~(0*~D*B))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(C*~A*~(1*~D*B))"),
.INIT_LUTF0(16'b1111101101110011),
.INIT_LUTF1(16'b0101000001010000),
.INIT_LUTG0(16'b1101100101010001),
.INIT_LUTG1(16'b0101000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3832|u_logic/_al_u3845 (
.a({\u_logic/_al_u3829_o ,\u_logic/S2epw6 }),
.b({\u_logic/Qbfpw6 [30],\u_logic/_al_u938_o }),
.c({\u_logic/_al_u3831_o ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/_al_u874_o ,\u_logic/Wc5ju6_lutinv }),
.e({\u_logic/Ys4ju6 ,\u_logic/Ys4ju6 }),
.f({\u_logic/K5liu6 ,\u_logic/_al_u3845_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3836 (
.c({open_n131312,\u_logic/_al_u3835_o }),
.d({open_n131315,\u_logic/K5liu6 }),
.f({open_n131329,\u_logic/_al_u3836_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3839|u_logic/_al_u368 (
.a({\u_logic/I55ju6 ,open_n131335}),
.b({\u_logic/_al_u3723_o ,open_n131336}),
.c({\u_logic/vis_apsr_o[1] ,\u_logic/Xuzhu6 }),
.d({\u_logic/n159 [11],\u_logic/B40iu6 }),
.f({\u_logic/J77ju6 ,\u_logic/Mifpw6 [8]}));
// ../rtl/topmodule/cortexm0ds_logic.v(17809)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u383|u_logic/C7wpw6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/Xpqow6 }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r0_o[11] ,\u_logic/vis_r3_o[16] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[11] ,\u_logic/vis_r7_o[16] }),
.mi({open_n131371,\u_logic/Admiu6 }),
.f({\u_logic/S8now6 ,\u_logic/Tnqow6 }),
.q({open_n131376,\u_logic/vis_r1_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(17809)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*~C))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1000000010001000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3840|u_logic/_al_u3787 (
.a({open_n131377,\u_logic/H15ju6_lutinv }),
.b({\u_logic/E87ju6 ,\u_logic/_al_u3786_o }),
.c({\u_logic/J77ju6 ,\u_logic/_al_u1138_o }),
.d({\u_logic/L87ju6_lutinv ,\u_logic/_al_u3725_o }),
.f({\u_logic/_al_u3840_o ,\u_logic/Ibliu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b0101001100000000),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b0101001100000000),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3843|u_logic/_al_u4553 (
.a({open_n131398,\u_logic/_al_u3879_o }),
.b({\u_logic/N45ju6 ,\u_logic/Id4ju6 }),
.c({\u_logic/_al_u3725_o ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/_al_u1401_o ,\u_logic/_al_u4454_o }),
.f({\u_logic/_al_u3843_o ,\u_logic/_al_u4553_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*B*~A*~(~0*~C))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~D*B*~A*~(~1*~C))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0000000001000000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000000001000100),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3844|u_logic/_al_u4703 (
.a({\u_logic/W55ju6_lutinv ,\u_logic/_al_u4693_o }),
.b({\u_logic/_al_u3843_o ,\u_logic/Ejcow6 }),
.c({\u_logic/By4ju6 ,\u_logic/Ukcow6 }),
.d({\u_logic/Nxkbx6 [32],\u_logic/_al_u4702_o }),
.e({open_n131425,\u_logic/Kjziu6_lutinv }),
.f({\u_logic/Bbliu6 ,\u_logic/_al_u4703_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*~A)"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~D*C*B*~A)"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000000001000000),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000000001000000),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3846|u_logic/_al_u1251 (
.a({\u_logic/By4ju6 ,\u_logic/_al_u1164_o }),
.b({\u_logic/_al_u3723_o ,\u_logic/J71iu6_lutinv }),
.c({\u_logic/Nxkbx6 [8],\u_logic/I8lax6 }),
.d({\u_logic/mult0_0_0_7 ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u3846_o ,\u_logic/_al_u1251_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20228)
EG_PHY_MSLICE #(
//.LUT0("~(~(C*B)*~(D*A))"),
//.LUT1("(B*~(C*~D))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110101011000000),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3847|u_logic/S4kbx6_reg (
.a({open_n131470,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u3846_o ,\u_logic/_al_u2301_o }),
.c({\u_logic/_al_u3725_o ,\u_logic/Go0iu6_lutinv }),
.ce(\u_logic/n1481 ),
.clk(clk_pad),
.d({\u_logic/_al_u1197_o ,\u_logic/Nxkbx6 [1]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3847_o ,\u_logic/Ay8iu6 }),
.q({open_n131486,\u_logic/S4kbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20228)
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*B*A)"),
//.LUT1("(1*D*C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3848 (
.a({\u_logic/_al_u3836_o ,\u_logic/_al_u3836_o }),
.b({\u_logic/_al_u3840_o ,\u_logic/_al_u3840_o }),
.c({\u_logic/Bbliu6 ,\u_logic/Bbliu6 }),
.d({\u_logic/_al_u3845_o ,\u_logic/_al_u3845_o }),
.mi({open_n131499,\u_logic/_al_u3847_o }),
.fx({open_n131504,\u_logic/_al_u3848_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111101101110011),
.INIT_LUT1(16'b1101100101010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3849 (
.a({\u_logic/Qbfpw6 [4],\u_logic/Qbfpw6 [4]}),
.b({\u_logic/_al_u902_o ,\u_logic/_al_u902_o }),
.c({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.mi({open_n131519,\u_logic/Ys4ju6 }),
.fx({open_n131524,\u_logic/Kl4ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17808)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u384|u_logic/C5wpw6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Cpqow6 }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Voqow6 }),
.c({\u_logic/vis_r4_o[11] ,\u_logic/vis_r0_o[16] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[11] ,\u_logic/vis_r1_o[16] }),
.mi({open_n131530,\u_logic/Admiu6 }),
.f({\u_logic/_al_u384_o ,\u_logic/Aoqow6 }),
.q({open_n131546,\u_logic/vis_r0_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(17808)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3850|u_logic/_al_u2620 (
.a({\u_logic/Pk4ju6 ,\u_logic/Ql8iu6 }),
.b({\u_logic/_al_u3723_o ,\u_logic/_al_u2473_o }),
.c({\u_logic/vis_ipsr_o[4] ,\u_logic/H34iu6 }),
.d({\u_logic/mult0_0_0_4 ,\u_logic/vis_ipsr_o[4] }),
.f({\u_logic/Uj4ju6 ,\u_logic/_al_u2620_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u3852|u_logic/_al_u2362 (
.a({\u_logic/Kl4ju6_lutinv ,\u_logic/_al_u2301_o }),
.b({\u_logic/_al_u3851_o ,\u_logic/_al_u2293_o }),
.c({\u_logic/By4ju6 ,\u_logic/To2ju6_lutinv }),
.d({\u_logic/Nxkbx6 [5],\u_logic/N5fpw6 [30]}),
.f({\u_logic/Kkkiu6 ,\u_logic/_al_u2362_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18757)
EG_PHY_LSLICE #(
//.LUTF0("~(C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("~(C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3853|u_logic/Wdmax6_reg (
.b({\u_logic/Cg5ju6_lutinv ,open_n131593}),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/X7miu6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/Qbfpw6 [27],\u_logic/_al_u4510_o }),
.f({\u_logic/Y47ju6_lutinv ,\u_logic/C7miu6 }),
.q({open_n131614,\u_logic/vis_r10_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18757)
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("(~D*~B*~(C*A))"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b0000000000010011),
.MODE("LOGIC"))
\u_logic/_al_u3854|u_logic/_al_u3858 (
.a({\u_logic/By4ju6 ,\u_logic/By4ju6 }),
.b({\u_logic/_al_u3723_o ,\u_logic/_al_u3723_o }),
.c(\u_logic/Nxkbx6 [28:27]),
.d(\u_logic/n159 [9:8]),
.f({\u_logic/_al_u3854_o ,\u_logic/_al_u3858_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~D))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(B*~(C*~D))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b1100110000001100),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1100110000001100),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3855|u_logic/_al_u3756 (
.b({\u_logic/_al_u3854_o ,\u_logic/_al_u3755_o }),
.c({\u_logic/_al_u3725_o ,\u_logic/_al_u3725_o }),
.d({\u_logic/_al_u1380_o ,\u_logic/Cz7ju6 }),
.f({\u_logic/_al_u3855_o ,\u_logic/_al_u3756_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUT1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3856 (
.a({\u_logic/Y47ju6_lutinv ,\u_logic/Y47ju6_lutinv }),
.b({\u_logic/Qbfpw6 [27],\u_logic/Qbfpw6 [27]}),
.c({\u_logic/_al_u3855_o ,\u_logic/_al_u3855_o }),
.d({\u_logic/_al_u853_o ,\u_logic/_al_u853_o }),
.mi({open_n131673,\u_logic/Ys4ju6 }),
.fx({open_n131678,\u_logic/_al_u3856_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18761)
EG_PHY_MSLICE #(
//.LUT0("~(D*C*~B*~A)"),
//.LUT1("(B*~(C*~D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111111111111),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3859|u_logic/Wlmax6_reg (
.a({open_n131681,\u_logic/_al_u4607_o }),
.b({\u_logic/_al_u3858_o ,\u_logic/_al_u4574_o }),
.c({\u_logic/_al_u3725_o ,\u_logic/_al_u4609_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/_al_u1373_o ,\u_logic/_al_u3753_o }),
.f({\u_logic/_al_u3859_o ,\u_logic/Jlmiu6 }),
.q({open_n131698,\u_logic/vis_r10_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(18761)
// ../rtl/topmodule/cortexm0ds_logic.v(19769)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u385|u_logic/C87bx6_reg (
.a({\u_logic/L8now6 ,\u_logic/Dmqow6 }),
.b({\u_logic/_al_u382_o ,\u_logic/Mnqow6 }),
.c({\u_logic/S8now6 ,\u_logic/vis_r2_o[13] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u384_o ,\u_logic/vis_r4_o[13] }),
.mi({open_n131702,\u_logic/Vrmiu6 }),
.f({\u_logic/Pb0iu6 ,\u_logic/_al_u472_o }),
.q({open_n131718,\u_logic/vis_r3_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19769)
EG_PHY_MSLICE #(
//.LUT0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUT1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3860 (
.a({\u_logic/X07ju6_lutinv ,\u_logic/X07ju6_lutinv }),
.b({\u_logic/Qbfpw6 [26],\u_logic/Qbfpw6 [26]}),
.c({\u_logic/_al_u3859_o ,\u_logic/_al_u3859_o }),
.d({\u_logic/_al_u846_o ,\u_logic/_al_u846_o }),
.mi({open_n131731,\u_logic/Ys4ju6 }),
.fx({open_n131736,\u_logic/_al_u3860_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(B*~(C*~D))"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"))
\u_logic/_al_u3863|u_logic/_al_u3797 (
.a({open_n131739,\u_logic/Tc7ju6_lutinv }),
.b({\u_logic/_al_u3862_o ,\u_logic/_al_u3796_o }),
.c({\u_logic/_al_u3725_o ,\u_logic/By4ju6 }),
.d({\u_logic/_al_u1363_o ,\u_logic/Nxkbx6 [2]}),
.f({\u_logic/_al_u3863_o ,\u_logic/Bpliu6 }));
EG_PHY_MSLICE #(
//.LUT0("~(D@(C*~(B*~A)))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b1011000001001111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3865|u_logic/_al_u1645 (
.a({\u_logic/Kkkiu6 ,\u_logic/_al_u1299_o }),
.b({\u_logic/_al_u3856_o ,\u_logic/_al_u1442_o }),
.c({\u_logic/_al_u3860_o ,\u_logic/_al_u1644_o }),
.d({\u_logic/_al_u3864_o ,\u_logic/_al_u1446_o }),
.f({\u_logic/_al_u3865_o ,\u_logic/L2epw6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(1*D*C*B*A)"),
.INIT_LUTF0(16'b1111101101110011),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1101100101010001),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3866|u_logic/_al_u3841 (
.a({\u_logic/_al_u3773_o ,\u_logic/D5epw6 }),
.b({\u_logic/_al_u3810_o ,\u_logic/To2ju6_lutinv }),
.c({\u_logic/_al_u3828_o ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/_al_u3848_o ,\u_logic/Wc5ju6_lutinv }),
.e({\u_logic/_al_u3865_o ,\u_logic/Ys4ju6 }),
.f({\u_logic/_al_u3866_o ,\u_logic/W55ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3871|u_logic/_al_u4786 (
.c({\u_logic/_al_u3870_o ,\u_logic/Ph8iu6_lutinv }),
.d({\u_logic/_al_u3868_o ,\u_logic/_al_u1410_o }),
.f({\u_logic/Ov3ju6_lutinv ,\u_logic/_al_u4786_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B)*~(~0*A))"),
//.LUTF1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(~C*~(~D*B)*~(~1*A))"),
//.LUTG1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b0000001010001010),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0000001010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3872|u_logic/_al_u3680 (
.a({\u_logic/_al_u3651_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/Y1qow6 ,\u_logic/_al_u3663_o }),
.c({\u_logic/Bb0iu6 ,\u_logic/_al_u3679_o }),
.d({\u_logic/Pb0iu6 ,\u_logic/A70iu6 }),
.e({open_n131832,\u_logic/M60iu6 }),
.f({\u_logic/_al_u3872_o ,\u_logic/_al_u3680_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*B)*~(~0*A))"),
//.LUT1("(~C*~(~D*B)*~(~1*A))"),
.INIT_LUT0(16'b0000010100000001),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3873 (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3872_o ,\u_logic/_al_u3872_o }),
.d({\u_logic/Ib0iu6 ,\u_logic/Ib0iu6 }),
.mi({open_n131865,\u_logic/Ua0iu6 }),
.fx({open_n131870,\u_logic/_al_u3873_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3874|u_logic/_al_u597 (
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u3873_o ,\u_logic/Ixzhu6 }),
.f({\u_logic/_al_u3874_o ,\u_logic/Tgfpw6 [30]}));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*B)*~(~0*A))"),
//.LUT1("(~C*~(~D*B)*~(~1*A))"),
.INIT_LUT0(16'b0000010100000001),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3876 (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3875_o ,\u_logic/_al_u3875_o }),
.d({\u_logic/Bb0iu6 ,\u_logic/Bb0iu6 }),
.mi({open_n131913,\u_logic/Na0iu6 }),
.fx({open_n131918,\u_logic/_al_u3876_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3877|u_logic/_al_u3938 (
.a({open_n131921,\u_logic/Uk3ju6_lutinv }),
.b({\u_logic/_al_u3874_o ,\u_logic/Ha3ju6_lutinv }),
.c({\u_logic/_al_u3876_o ,\u_logic/F14ju6 }),
.d({\u_logic/Ov3ju6_lutinv ,\u_logic/R04ju6 }),
.f({\u_logic/_al_u3877_o ,\u_logic/_al_u3938_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18918)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTF1("(~C*~(~D*B)*~(~0*A))"),
//.LUTG0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG1("(~C*~(~D*B)*~(~1*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100000101010),
.INIT_LUTF1(16'b0000010100000001),
.INIT_LUTG0(16'b0000100000101010),
.INIT_LUTG1(16'b0000111100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3879|u_logic/V9vax6_reg (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3651_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/Y1qow6 }),
.c({\u_logic/_al_u3878_o ,\u_logic/X80iu6 }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/L90iu6 ,\u_logic/J80iu6 }),
.e({\u_logic/Q80iu6 ,open_n131942}),
.mi({open_n131944,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u3879_o ,\u_logic/_al_u3929_o }),
.q({open_n131960,\u_logic/vis_r4_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(18918)
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*B)*~(~0*A))"),
//.LUT1("(~C*~(~D*B)*~(~1*A))"),
.INIT_LUT0(16'b0000010100000001),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3881 (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3880_o ,\u_logic/_al_u3880_o }),
.d({\u_logic/S90iu6 ,\u_logic/S90iu6 }),
.mi({open_n131973,\u_logic/X80iu6 }),
.fx({open_n131978,\u_logic/_al_u3881_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17903)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("~(C*~B*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100111111111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100111111111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3882|u_logic/Zezpw6_reg (
.b({open_n131983,\u_logic/_al_u4693_o }),
.c({\u_logic/_al_u3881_o ,\u_logic/_al_u3840_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u3879_o ,\u_logic/_al_u4691_o }),
.f({\u_logic/Q34ju6_lutinv ,\u_logic/P4liu6 }),
.q({open_n132004,\u_logic/vis_psp_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(17903)
// ../rtl/topmodule/cortexm0ds_logic.v(18876)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100000101010),
.INIT_LUT1(16'b0000100000101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3883|u_logic/Hysax6_reg (
.a({\u_logic/_al_u3651_o ,\u_logic/_al_u3651_o }),
.b({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.c({\u_logic/Na0iu6 ,\u_logic/J80iu6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Z90iu6 ,\u_logic/V70iu6 }),
.mi({open_n132015,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u3883_o ,\u_logic/_al_u3936_o }),
.q({open_n132020,\u_logic/vis_r12_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(18876)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3885|u_logic/_al_u1637 (
.b({open_n132023,\u_logic/_al_u1450_o }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/Rskax6 }),
.d({\u_logic/_al_u3884_o ,\u_logic/_al_u1448_o }),
.f({\u_logic/_al_u3885_o ,\u_logic/_al_u1637_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19795)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100000101010),
.INIT_LUT1(16'b0000100000101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3886|u_logic/Zd8bx6_reg (
.a({\u_logic/_al_u3651_o ,\u_logic/_al_u3651_o }),
.b({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.c({\u_logic/Ga0iu6 ,\u_logic/Q80iu6 }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/S90iu6 ,\u_logic/C80iu6 }),
.mi({open_n132058,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u3886_o ,\u_logic/_al_u3934_o }),
.q({open_n132063,\u_logic/vis_r7_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19795)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100111111000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100111111000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3888|u_logic/_al_u3932 (
.b({\u_logic/_al_u3885_o ,\u_logic/_al_u3930_o }),
.c({\u_logic/_al_u3887_o ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/Q34ju6_lutinv ,\u_logic/_al_u3887_o }),
.f({\u_logic/_al_u3888_o ,\u_logic/Uk3ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(0*D)*~(B*~A))"),
//.LUTF1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG0("(~C*~(1*D)*~(B*~A))"),
//.LUTG1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUTF0(16'b0000101100001011),
.INIT_LUTF1(16'b0000100000101010),
.INIT_LUTG0(16'b0000000000001011),
.INIT_LUTG1(16'b0000100000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3889|u_logic/_al_u1652 (
.a({\u_logic/_al_u3651_o ,\u_logic/_al_u1328_o }),
.b({\u_logic/Y1qow6 ,\u_logic/_al_u1442_o }),
.c({\u_logic/B40iu6 ,\u_logic/_al_u1448_o }),
.d({\u_logic/Wb0iu6 ,\u_logic/_al_u1450_o }),
.e({open_n132092,\u_logic/Lgkax6 }),
.f({\u_logic/_al_u3889_o ,\u_logic/_al_u1652_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(D*~(1*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1100101000000000),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3891|u_logic/_al_u4513 (
.a({open_n132113,\u_logic/_al_u3671_o }),
.b({\u_logic/_al_u3890_o ,\u_logic/_al_u3902_o }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/_al_u3876_o ,\u_logic/_al_u4512_o }),
.e({open_n132116,\u_logic/_al_u4454_o }),
.f({\u_logic/_al_u3891_o ,\u_logic/Kfcow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*B)*~(~0*A))"),
//.LUT1("(~C*~(~D*B)*~(~1*A))"),
.INIT_LUT0(16'b0000010100000001),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3893 (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3892_o ,\u_logic/_al_u3892_o }),
.d({\u_logic/X80iu6 ,\u_logic/X80iu6 }),
.mi({open_n132149,\u_logic/J80iu6 }),
.fx({open_n132154,\u_logic/_al_u3893_o }));
EG_PHY_LSLICE #(
//.LUTF0("~((D*C*B)*~(A)*~(0)+(D*C*B)*A*~(0)+~((D*C*B))*A*0+(D*C*B)*A*0)"),
//.LUTF1("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("~((D*C*B)*~(A)*~(1)+(D*C*B)*A*~(1)+~((D*C*B))*A*1+(D*C*B)*A*1)"),
//.LUTG1("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b0011111111111111),
.INIT_LUTF1(16'b1100111111000000),
.INIT_LUTG0(16'b0101010101010101),
.INIT_LUTG1(16'b1100111111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3894|u_logic/_al_u3931 (
.a({open_n132157,\u_logic/T14ju6 }),
.b({\u_logic/_al_u3893_o ,\u_logic/Q34ju6_lutinv }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/_al_u3893_o }),
.d({\u_logic/_al_u3884_o ,\u_logic/_al_u3930_o }),
.e({open_n132160,\u_logic/Hv3ju6_lutinv }),
.f({\u_logic/We3ju6_lutinv ,\u_logic/_al_u3931_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUT0(16'b0000100000101010),
.INIT_LUT1(16'b0000100000101010),
.MODE("LOGIC"))
\u_logic/_al_u3895|u_logic/_al_u3897 (
.a({\u_logic/_al_u3651_o ,\u_logic/_al_u3651_o }),
.b({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.c({\u_logic/Ua0iu6 ,\u_logic/Bb0iu6 }),
.d({\u_logic/Ga0iu6 ,\u_logic/Na0iu6 }),
.f({\u_logic/_al_u3895_o ,\u_logic/_al_u3897_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*B)*~(~0*A))"),
//.LUT1("(~C*~(~D*B)*~(~1*A))"),
.INIT_LUT0(16'b0000010100000001),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3896 (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3895_o ,\u_logic/_al_u3895_o }),
.d({\u_logic/Na0iu6 ,\u_logic/Na0iu6 }),
.mi({open_n132213,\u_logic/Z90iu6 }),
.fx({open_n132218,\u_logic/Id4ju6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17904)
EG_PHY_MSLICE #(
//.LUT0("~(C*B*~D)"),
//.LUT1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3899|u_logic/Zgzpw6_reg (
.b({open_n132223,\u_logic/_al_u4631_o }),
.c({\u_logic/Uc4ju6 ,\u_logic/Cgkiu6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/Id4ju6 ,\u_logic/_al_u4629_o }),
.f({\u_logic/_al_u3899_o ,\u_logic/Qcaiu6 }),
.q({open_n132240,\u_logic/vis_msp_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(17904)
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*~(~B*~A))"),
//.LUT1("(1*D*C*~(~B*~A))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1110000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3900 (
.a({\u_logic/_al_u3877_o ,\u_logic/_al_u3877_o }),
.b({\u_logic/_al_u3888_o ,\u_logic/_al_u3888_o }),
.c({\u_logic/_al_u3891_o ,\u_logic/_al_u3891_o }),
.d({\u_logic/We3ju6_lutinv ,\u_logic/We3ju6_lutinv }),
.mi({open_n132253,\u_logic/_al_u3899_o }),
.fx({open_n132258,\u_logic/_al_u3900_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18772)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTF1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100000101010),
.INIT_LUTF1(16'b0000001010001010),
.INIT_LUTG0(16'b0000100000101010),
.INIT_LUTG1(16'b0000001010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3901|u_logic/R7nax6_reg (
.a({\u_logic/_al_u3651_o ,\u_logic/_al_u3651_o }),
.b({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.c({\u_logic/U30iu6 ,\u_logic/_al_u307_o }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/I40iu6 ,\u_logic/Y50iu6 }),
.mi({open_n132264,\u_logic/Jgkiu6 }),
.f({\u_logic/_al_u3901_o ,\u_logic/_al_u3695_o }),
.q({open_n132280,\u_logic/vis_r14_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(18772)
EG_PHY_MSLICE #(
//.LUT0("~(C@(B*D))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100001100001111),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3903|u_logic/_al_u1703 (
.b({\u_logic/_al_u3890_o ,\u_logic/_al_u1702_o }),
.c({\u_logic/_al_u3902_o ,\u_logic/_al_u1446_o }),
.d({\u_logic/Ov3ju6_lutinv ,\u_logic/_al_u1701_o }),
.f({\u_logic/Av3ju6 ,\u_logic/Qbfpw6 [2]}));
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(D*C))"),
//.LUTF1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG0("(~B*A*~(D*C))"),
//.LUTG1("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUTF0(16'b0000001000100010),
.INIT_LUTF1(16'b0000100000101010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3905|u_logic/_al_u1453 (
.a({\u_logic/_al_u3651_o ,\u_logic/_al_u1446_o }),
.b({\u_logic/Y1qow6 ,\u_logic/_al_u1448_o }),
.c({\u_logic/W40iu6 ,\u_logic/_al_u1450_o }),
.d({\u_logic/I40iu6 ,\u_logic/Rkkax6 }),
.f({\u_logic/_al_u3905_o ,\u_logic/T75ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*B)*~(~0*A))"),
//.LUT1("(~C*~(~D*B)*~(~1*A))"),
.INIT_LUT0(16'b0000010100000001),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3906 (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3905_o ,\u_logic/_al_u3905_o }),
.d({\u_logic/P40iu6 ,\u_logic/P40iu6 }),
.mi({open_n132339,\u_logic/B40iu6 }),
.fx({open_n132344,\u_logic/Mu3ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~0*B)*~(~D*A))"),
//.LUT1("(~C*~(~1*B)*~(~D*A))"),
.INIT_LUT0(16'b0000001100000001),
.INIT_LUT1(16'b0000111100000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3908 (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3907_o ,\u_logic/_al_u3907_o }),
.d({\u_logic/U30iu6 ,\u_logic/U30iu6 }),
.mi({open_n132359,\u_logic/I40iu6 }),
.fx({open_n132364,\u_logic/Yt3ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(0*~(~A*~(D*B))))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*~(1*~(~A*~(D*B))))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3909|u_logic/_al_u4521 (
.a({open_n132367,\u_logic/_al_u3668_o }),
.b({\u_logic/Mu3ju6 ,\u_logic/_al_u3661_o }),
.c({\u_logic/Yt3ju6 ,\u_logic/_al_u4520_o }),
.d({\u_logic/_al_u3904_o ,\u_logic/_al_u3673_o }),
.e({open_n132370,\u_logic/_al_u4454_o }),
.f({\u_logic/_al_u3909_o ,\u_logic/Yfcow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3912|u_logic/_al_u1641 (
.a({\u_logic/_al_u3671_o ,\u_logic/_al_u1197_o }),
.b({\u_logic/_al_u3684_o ,\u_logic/_al_u1442_o }),
.c({\u_logic/_al_u3691_o ,\u_logic/_al_u1450_o }),
.d({\u_logic/_al_u3911_o ,\u_logic/W4jax6 }),
.f({\u_logic/Tu3ju6 ,\u_logic/Zu6ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUT1("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUT0(16'b0000010100010001),
.INIT_LUT1(16'b1100111111000000),
.MODE("LOGIC"))
\u_logic/_al_u3913|u_logic/_al_u3685 (
.a({open_n132415,\u_logic/_al_u3682_o }),
.b({\u_logic/_al_u3911_o ,\u_logic/_al_u3678_o }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/_al_u3684_o }),
.d({\u_logic/_al_u3700_o ,\u_logic/Hv3ju6_lutinv }),
.f({\u_logic/_al_u3913_o ,\u_logic/_al_u3685_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3917|u_logic/_al_u3959 (
.b({\u_logic/_al_u3915_o ,open_n132438}),
.c({\u_logic/F93ju6_lutinv ,\u_logic/Hirpw6 }),
.d({\u_logic/_al_u3712_o ,\u_logic/_al_u3958_o }),
.f({\u_logic/_al_u3917_o ,\u_logic/_al_u3959_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~0*~A*~(~C*~B)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(D*~(~1*~A*~(~C*~B)))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1010101100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3919|u_logic/_al_u3962 (
.a({open_n132463,\u_logic/_al_u3951_o }),
.b({open_n132464,\u_logic/_al_u3956_o }),
.c({\u_logic/R3how6_lutinv ,\u_logic/_al_u3959_o }),
.d({\u_logic/_al_u3917_o ,\u_logic/_al_u3961_o }),
.e({open_n132467,\u_logic/_al_u3960_o }),
.f({\u_logic/_al_u3919_o ,\u_logic/_al_u3962_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*~B)*~(C*A))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0100110001011111),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u3920|u_logic/_al_u1642 (
.a({open_n132488,\u_logic/Kc6ju6 }),
.b({open_n132489,\u_logic/_al_u1474_o }),
.c({\u_logic/_al_u3915_o ,\u_logic/Fkrpw6 }),
.d({\u_logic/_al_u3712_o ,\u_logic/V6jax6 }),
.f({\u_logic/_al_u3920_o ,\u_logic/Gv6ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3921|u_logic/_al_u1469 (
.a({open_n132510,\u_logic/Kc6ju6 }),
.b({open_n132511,\u_logic/_al_u1450_o }),
.c({\u_logic/Df3ju6 ,\u_logic/Jckax6 }),
.d({\u_logic/_al_u3920_o ,\u_logic/Ubypw6 }),
.f({\u_logic/_al_u3921_o ,\u_logic/_al_u1469_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUT1("(~B*~A*~(~D*C))"),
.INIT_LUT0(16'b0101001100000000),
.INIT_LUT1(16'b0001000100000001),
.MODE("LOGIC"))
\u_logic/_al_u3923|u_logic/_al_u3952 (
.a({\u_logic/_al_u3919_o ,\u_logic/Nk3ju6_lutinv }),
.b({\u_logic/_al_u3921_o ,\u_logic/_al_u3891_o }),
.c({\u_logic/_al_u3922_o ,\u_logic/_al_u3915_o }),
.d({\u_logic/Df3ju6 ,\u_logic/F93ju6_lutinv }),
.f({\u_logic/_al_u3923_o ,\u_logic/_al_u3952_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~C*~B*~A))"),
//.LUTF1("(~B*~(A*~(D)*~(C)+A*D*~(C)+~(A)*D*C+A*D*C))"),
//.LUTG0("(D*~(~C*~B*~A))"),
//.LUTG1("(~B*~(A*~(D)*~(C)+A*D*~(C)+~(A)*D*C+A*D*C))"),
.INIT_LUTF0(16'b1111111000000000),
.INIT_LUTF1(16'b0000000100110001),
.INIT_LUTG0(16'b1111111000000000),
.INIT_LUTG1(16'b0000000100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3924|u_logic/_al_u3954 (
.a({\u_logic/R3how6_lutinv ,\u_logic/_al_u3913_o }),
.b({\u_logic/_al_u3712_o ,\u_logic/_al_u3915_o }),
.c({\u_logic/_al_u3915_o ,\u_logic/F93ju6_lutinv }),
.d({\u_logic/Df3ju6 ,\u_logic/Hirpw6 }),
.f({\u_logic/Lb4ju6_lutinv ,\u_logic/_al_u3954_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(A@(C*B)))"),
//.LUT1("(~(~C*~B)*~(D*~A))"),
.INIT_LUT0(16'b0110101000000000),
.INIT_LUT1(16'b1010100011111100),
.MODE("LOGIC"))
\u_logic/_al_u3925|u_logic/_al_u3676 (
.a({\u_logic/_al_u3900_o ,\u_logic/V2kow6_lutinv }),
.b({\u_logic/_al_u3914_o ,\u_logic/_al_u3675_o }),
.c({\u_logic/_al_u3923_o ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/Lb4ju6_lutinv ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u3925_o ,\u_logic/_al_u3676_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18894)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B)*~(~0*A))"),
//.LUTF1("(~C*~(~D*B)*~(~0*A))"),
//.LUTG0("(~C*~(~D*B)*~(~1*A))"),
//.LUTG1("(~C*~(~D*B)*~(~1*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b0000010100000001),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0000111100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3927|u_logic/Eytax6_reg (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3926_o ,\u_logic/_al_u3693_o }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/V70iu6 ,\u_logic/O70iu6 }),
.e({\u_logic/H70iu6 ,\u_logic/A70iu6 }),
.mi({open_n132601,\u_logic/X6niu6 }),
.f({\u_logic/C34ju6 ,\u_logic/_al_u3694_o }),
.q({open_n132617,\u_logic/vis_r12_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(18894)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3928 (
.a({open_n132618,\u_logic/_al_u3680_o }),
.b({open_n132619,\u_logic/_al_u3706_o }),
.c({open_n132620,\u_logic/_al_u3694_o }),
.d({open_n132623,\u_logic/C34ju6 }),
.f({open_n132641,\u_logic/T14ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u392|u_logic/_al_u1584 (
.b({\u_logic/_al_u390_o ,open_n132649}),
.c({\u_logic/_al_u391_o ,\u_logic/R3vpw6 }),
.d({\u_logic/_al_u389_o ,\u_logic/Aujpw6 }),
.f({\u_logic/Gpyiu6 ,\u_logic/D31ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\u_logic/_al_u3933|u_logic/_al_u1633 (
.b({\u_logic/C34ju6 ,\u_logic/_al_u1450_o }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/Ssjax6 }),
.d({\u_logic/Csnow6 ,\u_logic/_al_u1448_o }),
.f({\u_logic/Ha3ju6_lutinv ,\u_logic/_al_u1633_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*B)*~(~0*A))"),
//.LUT1("(~C*~(~D*B)*~(~1*A))"),
.INIT_LUT0(16'b0000010100000001),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3935 (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3934_o ,\u_logic/_al_u3934_o }),
.d({\u_logic/J80iu6 ,\u_logic/J80iu6 }),
.mi({open_n132708,\u_logic/V70iu6 }),
.fx({open_n132713,\u_logic/F14ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*B)*~(~0*A))"),
//.LUT1("(~C*~(~D*B)*~(~1*A))"),
.INIT_LUT0(16'b0000010100000001),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3937 (
.a({\u_logic/_al_u3662_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u3663_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/_al_u3936_o ,\u_logic/_al_u3936_o }),
.d({\u_logic/C80iu6 ,\u_logic/C80iu6 }),
.mi({open_n132728,\u_logic/O70iu6 }),
.fx({open_n132733,\u_logic/R04ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(A*~(0)*~((D*C))+A*0*~((D*C))+~(A)*0*(D*C)+A*0*(D*C)))"),
//.LUT1("(~B*~(A*~(1)*~((D*C))+A*1*~((D*C))+~(A)*1*(D*C)+A*1*(D*C)))"),
.INIT_LUT0(16'b0011000100010001),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3939 (
.a({\u_logic/R3how6_lutinv ,\u_logic/R3how6_lutinv }),
.b({\u_logic/_al_u3712_o ,\u_logic/_al_u3712_o }),
.c({\u_logic/_al_u3915_o ,\u_logic/_al_u3915_o }),
.d({\u_logic/F93ju6_lutinv ,\u_logic/F93ju6_lutinv }),
.mi({open_n132748,\u_logic/Df3ju6 }),
.fx({open_n132753,\u_logic/Iz3ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u393|u_logic/_al_u124 (
.c({\u_logic/Ufopw6 ,\u_logic/Yvjpw6 }),
.d({\u_logic/T1vpw6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u393_o ,\u_logic/_al_u124_o }));
EG_PHY_MSLICE #(
//.LUT0("~(B*~((D*C*~A))*~(0)+B*(D*C*~A)*~(0)+~(B)*(D*C*~A)*0+B*(D*C*~A)*0)"),
//.LUT1("~(B*~((D*C*~A))*~(1)+B*(D*C*~A)*~(1)+~(B)*(D*C*~A)*1+B*(D*C*~A)*1)"),
.INIT_LUT0(16'b0011001100110011),
.INIT_LUT1(16'b1010111111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3941 (
.a({\u_logic/_al_u3713_o ,\u_logic/_al_u3713_o }),
.b({\u_logic/_al_u3866_o ,\u_logic/_al_u3866_o }),
.c({\u_logic/_al_u3925_o ,\u_logic/_al_u3925_o }),
.d({\u_logic/Bs3ju6 ,\u_logic/Bs3ju6 }),
.mi({open_n132792,\u_logic/Fpnpw6 }),
.fx({open_n132797,\u_logic/_al_u3941_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3943|u_logic/_al_u3946 (
.b({open_n132802,\u_logic/F93ju6_lutinv }),
.c({\u_logic/F93ju6_lutinv ,\u_logic/Df3ju6 }),
.d({\u_logic/_al_u3920_o ,\u_logic/_al_u3920_o }),
.f({\u_logic/_al_u3943_o ,\u_logic/_al_u3946_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(D)*~((~(~0*~C)*A))+~B*D*~((~(~0*~C)*A))+~(~B)*D*(~(~0*~C)*A)+~B*D*(~(~0*~C)*A))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("~(~B*~(D)*~((~(~1*~C)*A))+~B*D*~((~(~1*~C)*A))+~(~B)*D*(~(~1*~C)*A)+~B*D*(~(~1*~C)*A))"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0100110011101100),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0100010011101110),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3944|u_logic/_al_u3674 (
.a({open_n132823,\u_logic/Queow6 }),
.b({\u_logic/We3ju6_lutinv ,\u_logic/_al_u3672_o }),
.c({\u_logic/Df3ju6 ,\u_logic/_al_u3653_o }),
.d({\u_logic/_al_u3943_o ,\u_logic/_al_u3673_o }),
.e({open_n132826,\u_logic/Df3ju6 }),
.f({\u_logic/_al_u3944_o ,\u_logic/Mg3ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG1("(~C*B*~D)"),
.INIT_LUTF0(16'b0011000011111100),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0011000011111100),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3945|u_logic/_al_u4484 (
.b({\u_logic/_al_u3922_o ,\u_logic/Tucow6_lutinv }),
.c({\u_logic/Df3ju6 ,\u_logic/_al_u4483_o }),
.d({\u_logic/_al_u3904_o ,\u_logic/_al_u3919_o }),
.f({\u_logic/_al_u3945_o ,\u_logic/Eccow6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~A*~(0*~(~C*~B))))"),
//.LUT1("(D*~(~A*~(1*~(~C*~B))))"),
.INIT_LUT0(16'b1010101000000000),
.INIT_LUT1(16'b1111111000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3947 (
.a({\u_logic/_al_u3712_o ,\u_logic/_al_u3712_o }),
.b({\u_logic/_al_u3915_o ,\u_logic/_al_u3915_o }),
.c({\u_logic/F93ju6_lutinv ,\u_logic/F93ju6_lutinv }),
.d({\u_logic/_al_u3673_o ,\u_logic/_al_u3673_o }),
.mi({open_n132885,\u_logic/Df3ju6 }),
.fx({open_n132890,\u_logic/_al_u3947_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~B*~A*~(~D*C))"),
//.LUT1("(~1*~B*~A*~(~D*C))"),
.INIT_LUT0(16'b0001000100000001),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3948 (
.a({\u_logic/_al_u3944_o ,\u_logic/_al_u3944_o }),
.b({\u_logic/_al_u3945_o ,\u_logic/_al_u3945_o }),
.c({\u_logic/_al_u3946_o ,\u_logic/_al_u3946_o }),
.d({\u_logic/Ha3ju6_lutinv ,\u_logic/Ha3ju6_lutinv }),
.mi({open_n132905,\u_logic/_al_u3947_o }),
.fx({open_n132910,\u_logic/_al_u3948_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~B*~(~D*A)))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b1100000011100000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u3949|u_logic/_al_u4692 (
.a({open_n132913,\u_logic/_al_u3922_o }),
.b({open_n132914,\u_logic/_al_u3917_o }),
.c({\u_logic/_al_u3917_o ,\u_logic/Tucow6_lutinv }),
.d({\u_logic/Mg3ju6_lutinv ,\u_logic/Df3ju6 }),
.f({\u_logic/Yf3ju6_lutinv ,\u_logic/_al_u4692_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~B*~(~C*D))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~B*~(~C*D))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0011000000110011),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0011000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3950|u_logic/_al_u3712 (
.b({\u_logic/_al_u3711_o ,open_n132937}),
.c({\u_logic/_al_u3708_o ,\u_logic/Ufopw6 }),
.d({\u_logic/N7pow6 ,\u_logic/_al_u3711_o }),
.f({\u_logic/P73ju6 ,\u_logic/_al_u3712_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*~A))"),
//.LUTF1("(C*~(~B*D))"),
//.LUTG0("(~(D*B)*~(C*~A))"),
//.LUTG1("(C*~(~B*D))"),
.INIT_LUTF0(16'b0010001110101111),
.INIT_LUTF1(16'b1100000011110000),
.INIT_LUTG0(16'b0010001110101111),
.INIT_LUTG1(16'b1100000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3951|u_logic/_al_u1696 (
.a({open_n132962,\u_logic/_al_u1474_o }),
.b({\u_logic/Yf3ju6_lutinv ,\u_logic/_al_u1450_o }),
.c({\u_logic/P73ju6 ,\u_logic/Ubypw6 }),
.d({\u_logic/_al_u3948_o ,\u_logic/Wkipw6 }),
.f({\u_logic/_al_u3951_o ,\u_logic/_al_u1696_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~C*B*~D)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000000000001100),
.MODE("LOGIC"))
\u_logic/_al_u3953|u_logic/_al_u1625 (
.a({open_n132987,\u_logic/Zf7ju6 }),
.b({\u_logic/_al_u3915_o ,\u_logic/_al_u1450_o }),
.c({\u_logic/F93ju6_lutinv ,\u_logic/Oikax6 }),
.d({\u_logic/Uk3ju6_lutinv ,\u_logic/Rwjax6 }),
.f({\u_logic/_al_u3953_o ,\u_logic/_al_u1625_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(0*~B)*~(D*~A))"),
//.LUTF1("(~D*~(C*~B*~A))"),
//.LUTG0("(~C*~(1*~B)*~(D*~A))"),
//.LUTG1("(~D*~(C*~B*~A))"),
.INIT_LUTF0(16'b0000101000001111),
.INIT_LUTF1(16'b0000000011101111),
.INIT_LUTG0(16'b0000100000001100),
.INIT_LUTG1(16'b0000000011101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3955|u_logic/_al_u1694 (
.a({\u_logic/_al_u3952_o ,\u_logic/Qv4ju6_lutinv }),
.b({\u_logic/_al_u3953_o ,\u_logic/_al_u1474_o }),
.c({\u_logic/_al_u3954_o ,\u_logic/_al_u1693_o }),
.d({\u_logic/P73ju6 ,\u_logic/R9mpw6 }),
.e({open_n133010,\u_logic/U1kpw6 }),
.f({\u_logic/_al_u3955_o ,\u_logic/_al_u1694_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(~(A)*~(B)*~(C)+A*~(B)*~(C)+~(A)*B*~(C)+A*~(B)*C+~(A)*B*C))"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0110011100000000),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\u_logic/_al_u3958|u_logic/_al_u3669 (
.a({\u_logic/_al_u3672_o ,\u_logic/V2kow6_lutinv }),
.b({\u_logic/We3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.c({\u_logic/_al_u3957_o ,\u_logic/_al_u3653_o }),
.d({\u_logic/F93ju6_lutinv ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u3958_o ,\u_logic/Queow6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3960|u_logic/_al_u3918 (
.c({\u_logic/_al_u3711_o ,\u_logic/Hirpw6 }),
.d({\u_logic/N7pow6 ,\u_logic/N7pow6 }),
.f({\u_logic/_al_u3960_o ,\u_logic/R3how6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~C*D))"),
.INIT_LUT0(16'b1100000011001100),
.MODE("LOGIC"))
\u_logic/_al_u3961 (
.b({open_n133077,\u_logic/Fpnpw6 }),
.c({open_n133078,\u_logic/vis_apsr_o[1] }),
.d({open_n133081,\u_logic/_al_u3960_o }),
.f({open_n133095,\u_logic/_al_u3961_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(C)*~((D*B))+~A*C*~((D*B))+~(~A)*C*(D*B)+~A*C*(D*B))"),
//.LUT1("~(C*~((~B*A))*~(D)+C*(~B*A)*~(D)+~(C)*(~B*A)*D+C*(~B*A)*D)"),
.INIT_LUT0(16'b1101000101010101),
.INIT_LUT1(16'b1101110100001111),
.MODE("LOGIC"))
\u_logic/_al_u3963|u_logic/_al_u3698 (
.a({\u_logic/_al_u3948_o ,\u_logic/Nk3ju6_lutinv }),
.b({\u_logic/Yf3ju6_lutinv ,\u_logic/V2kow6_lutinv }),
.c({\u_logic/Bbliu6 ,\u_logic/_al_u3673_o }),
.d({\u_logic/Fpnpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u3963_o ,\u_logic/Eb4ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(~D*~C))"),
//.LUT1("(~(D)*~(B)*~(C)+D*~(B)*~(C)+~(D)*B*~(C)+D*~(B)*C+~(D)*B*C+D*B*C)"),
.INIT_LUT0(16'b0100010001000000),
.INIT_LUT1(16'b1111001111001111),
.MODE("LOGIC"))
\u_logic/_al_u3964|u_logic/_al_u4510 (
.a({open_n133121,\u_logic/_al_u4471_o }),
.b({\u_logic/D5epw6 ,\u_logic/_al_u4507_o }),
.c({\u_logic/Nxkbx6 [32],\u_logic/Ukcow6 }),
.d({\u_logic/Idfpw6 [31],\u_logic/_al_u4485_o }),
.f({\u_logic/_al_u3964_o ,\u_logic/_al_u4510_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(~C*~(~A*~(D)*~(B)+~A*D*~(B)+~(~A)*D*B+~A*D*B))"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0000001000001110),
.MODE("LOGIC"))
\u_logic/_al_u3968|u_logic/_al_u4810 (
.a({\u_logic/_al_u3964_o ,open_n133142}),
.b({\u_logic/P9niu6 ,\u_logic/P9niu6 }),
.c({\u_logic/_al_u3967_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/vis_apsr_o[0] ,\u_logic/Ug8iu6_lutinv }),
.f({\u_logic/Qj2ju6 ,\u_logic/_al_u4810_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~A*~(~0*~D*~C)))"),
//.LUTF1("~(B@(D*~(A*~(0)*~(C)+A*0*~(C)+~(A)*0*C+A*0*C)))"),
//.LUTG0("(B*~(~A*~(~1*~D*~C)))"),
//.LUTG1("~(B@(D*~(A*~(1)*~(C)+A*1*~(C)+~(A)*1*C+A*1*C)))"),
.INIT_LUTF0(16'b1000100010001100),
.INIT_LUTF1(16'b1100011000110011),
.INIT_LUTG0(16'b1000100010001000),
.INIT_LUTG1(16'b0011011000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3970|u_logic/_al_u4623 (
.a({\u_logic/_al_u3963_o ,\u_logic/_al_u4448_o }),
.b({\u_logic/Qj2ju6 ,\u_logic/Ms5bx6 }),
.c({\u_logic/Fhoiu6 ,\u_logic/P5vpw6 }),
.d({\u_logic/Wt2ju6_lutinv ,\u_logic/S4kbx6 }),
.e({\u_logic/vis_apsr_o[3] ,\u_logic/Xxupw6 }),
.f({\u_logic/Cj2ju6_lutinv ,\u_logic/_al_u4623_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*~(~A*~(C*B))))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(D*~(1*~(~A*~(C*B))))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0001010100000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3972|u_logic/_al_u4562 (
.a({open_n133185,\u_logic/_al_u3685_o }),
.b({open_n133186,\u_logic/Queow6 }),
.c({\u_logic/Nxkbx6 [33],\u_logic/_al_u4560_o }),
.d({\u_logic/Fpnpw6 ,\u_logic/_al_u4561_o }),
.e({open_n133189,\u_logic/_al_u4454_o }),
.f({\u_logic/_al_u3972_o ,\u_logic/_al_u4562_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*(D@C)*~(B*A))"),
//.LUTF1("(B*~(C*~(~D*~(~0*~A))))"),
//.LUTG0("(~1*(D@C)*~(B*A))"),
//.LUTG1("(B*~(C*~(~D*~(~1*~A))))"),
.INIT_LUTF0(16'b0000011101110000),
.INIT_LUTF1(16'b0000110010001100),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3973|u_logic/_al_u3971 (
.a({\u_logic/_al_u3962_o ,\u_logic/Ng8iu6 }),
.b({\u_logic/Cj2ju6_lutinv ,\u_logic/vis_apsr_o[1] }),
.c({\u_logic/_al_u3971_o ,\u_logic/Rwjax6 }),
.d({\u_logic/Ng8iu6 ,\u_logic/Sojax6 }),
.e({\u_logic/_al_u3972_o ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u3973_o ,\u_logic/_al_u3971_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(A*~(~D*~(C*B)))"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b1010101010000000),
.MODE("LOGIC"))
\u_logic/_al_u3974|u_logic/_al_u1762 (
.a({\u_logic/_al_u1779_o ,open_n133232}),
.b({\u_logic/Cwiiu6 ,\u_logic/Dxvpw6 }),
.c({\u_logic/_al_u2341_o ,\u_logic/Sojax6 }),
.d({\u_logic/_al_u2468_o ,\u_logic/_al_u397_o }),
.f({\u_logic/_al_u3974_o ,\u_logic/_al_u1762_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~D*~C*B*~A))"),
//.LUTF1("(~D*~A*~(~C*B))"),
//.LUTG0("(~1*~(~D*~C*B*~A))"),
//.LUTG1("(~D*~A*~(~C*B))"),
.INIT_LUTF0(16'b1111111111111011),
.INIT_LUTF1(16'b0000000001010001),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000001010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3975|u_logic/_al_u2446 (
.a({\u_logic/_al_u3974_o ,\u_logic/_al_u2437_o }),
.b({\u_logic/Yo1ju6 ,\u_logic/_al_u2441_o }),
.c({\u_logic/_al_u1241_o ,\u_logic/_al_u2442_o }),
.d({\u_logic/P0kax6 ,\u_logic/_al_u2445_o }),
.e({open_n133255,\u_logic/Vygax6 }),
.f({\u_logic/_al_u3975_o ,\u_logic/_al_u2446_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(D*~(~C*B))"),
//.LUTG0("(~C*D)"),
//.LUTG1("(D*~(~C*B))"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1111001100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3978|u_logic/_al_u2110 (
.b({\u_logic/_al_u1768_o ,open_n133278}),
.c({\u_logic/W4jax6 ,\u_logic/W4jax6 }),
.d({\u_logic/_al_u1820_o ,\u_logic/P0kax6 }),
.f({\u_logic/_al_u3978_o ,\u_logic/_al_u2110_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*B*A*~(~D*C))"),
//.LUTF1("(~B*~A*~(~D*C))"),
//.LUTG0("(1*B*A*~(~D*C))"),
//.LUTG1("(~B*~A*~(~D*C))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0001000100000001),
.INIT_LUTG0(16'b1000100000001000),
.INIT_LUTG1(16'b0001000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3980|u_logic/_al_u2143 (
.a({\u_logic/_al_u3978_o ,\u_logic/Dxvpw6 }),
.b({\u_logic/_al_u3979_o ,\u_logic/P14qw6 }),
.c({\u_logic/Yo1ju6 ,\u_logic/Skjax6 }),
.d({\u_logic/Ssjax6 ,\u_logic/Sojax6 }),
.e({open_n133305,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u3980_o ,\u_logic/_al_u2143_o }));
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(A*~(C*~(~D*~B)))"),
.INIT_LUT0(16'b0000110000111111),
.INIT_LUT1(16'b0000101000101010),
.MODE("LOGIC"))
\u_logic/_al_u3982|u_logic/_al_u2628 (
.a({\u_logic/_al_u1793_o ,open_n133326}),
.b({\u_logic/_al_u2148_o ,\u_logic/Dxvpw6 }),
.c({\u_logic/_al_u3981_o ,\u_logic/Hgrpw6 }),
.d({\u_logic/Aujpw6 ,\u_logic/Ya1ju6_lutinv }),
.f({\u_logic/_al_u3982_o ,\u_logic/Fpaow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(D*C))"),
//.LUTG0("(~B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000000100010001),
.INIT_LUTG0(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3983 (
.a({open_n133347,\u_logic/_al_u1780_o }),
.b({open_n133348,\u_logic/_al_u3982_o }),
.c({open_n133349,\u_logic/_al_u154_o }),
.d({open_n133352,\u_logic/_al_u161_o }),
.f({open_n133370,\u_logic/_al_u3983_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~B*~(D*~A)))"),
//.LUT1("(B*A*~(D*~C))"),
.INIT_LUT0(16'b1101000011000000),
.INIT_LUT1(16'b1000000010001000),
.MODE("LOGIC"))
\u_logic/_al_u3985|u_logic/_al_u4036 (
.a({\u_logic/_al_u3980_o ,\u_logic/Wxyiu6 }),
.b({\u_logic/_al_u3983_o ,\u_logic/_al_u4035_o }),
.c({\u_logic/_al_u3984_o ,\u_logic/_al_u388_o }),
.d({\u_logic/_al_u1676_o ,\u_logic/_al_u641_o }),
.f({\u_logic/_al_u3985_o ,\u_logic/_al_u4036_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~B*~A*~(0*C)))"),
//.LUT1("(~D*~(~B*~A*~(1*C)))"),
.INIT_LUT0(16'b0000000011101110),
.INIT_LUT1(16'b0000000011111110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3987 (
.a({\u_logic/_al_u1101_o ,\u_logic/_al_u1101_o }),
.b({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.c({\u_logic/SLEEPHOLDACKn ,\u_logic/SLEEPHOLDACKn }),
.d({\u_logic/Yvjpw6 ,\u_logic/Yvjpw6 }),
.mi({open_n133408,\u_logic/Z9opw6 }),
.fx({open_n133413,\u_logic/_al_u3987_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*~(B*~(D)*~(A)+B*D*~(A)+~(B)*D*A+B*D*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*~(B*~(D)*~(A)+B*D*~(A)+~(B)*D*A+B*D*A))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000100001011),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000100001011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3988|u_logic/_al_u1755 (
.a({\u_logic/_al_u3986_o ,open_n133416}),
.b({\u_logic/_al_u1676_o ,open_n133417}),
.c({\u_logic/_al_u3987_o ,\u_logic/Yljiu6 }),
.d({\u_logic/_al_u1462_o ,\u_logic/_al_u159_o }),
.f({\u_logic/_al_u3988_o ,\u_logic/Ls1ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*~C)*~(~D*~B))"),
//.LUT1("(A*~(1*~C)*~(~D*~B))"),
.INIT_LUT0(16'b1010101010001000),
.INIT_LUT1(16'b1010000010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3989 (
.a({\u_logic/_al_u3985_o ,\u_logic/_al_u3985_o }),
.b({\u_logic/_al_u3975_o ,\u_logic/_al_u3975_o }),
.c({\u_logic/_al_u3988_o ,\u_logic/_al_u3988_o }),
.d({\u_logic/_al_u3976_o ,\u_logic/_al_u3976_o }),
.mi({open_n133454,\u_logic/Qe8iu6_lutinv }),
.fx({open_n133459,\u_logic/_al_u3989_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~C*~B*~A))"),
//.LUT1("(D*~(1*~C*~B*~A))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111111000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3993 (
.a({\u_logic/Dt4iu6 ,\u_logic/Dt4iu6 }),
.b({\u_logic/Tu4iu6 ,\u_logic/Tu4iu6 }),
.c({\u_logic/_al_u414_o ,\u_logic/_al_u414_o }),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.mi({open_n133474,\u_logic/DBGRESTARTED }),
.fx({open_n133479,\u_logic/Kt4iu6 }));
// ../rtl/peripherals/UART_RX.v(13)
EG_PHY_LSLICE #(
//.LUTF0("(~C*A*(D*~(B)*~(0)+D*B*~(0)+~(D)*B*0+D*B*0))"),
//.LUTF1("(~B*A*~(D*~C))"),
//.LUTG0("(~C*A*(D*~(B)*~(1)+D*B*~(1)+~(D)*B*1+D*B*1))"),
//.LUTG1("(~B*A*~(D*~C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101000000000),
.INIT_LUTF1(16'b0010000000100010),
.INIT_LUTG0(16'b0000100000001000),
.INIT_LUTG1(16'b0010000000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\u_logic/_al_u3995|UART_RX/reg2_b0 (
.a({HADDR[10],\u_logic/_al_u3995_o }),
.b({HADDR[9],\u_logic/_al_u3997_o }),
.c({\u_logic/_al_u3994_o ,\u_logic/_al_u3998_o }),
.clk(clk_pad),
.d({HADDR[7],\u_logic/_al_u3999_o }),
.e({open_n133483,HADDR[8]}),
.mi({open_n133485,\UART_RX/shift_reg [1]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3995_o ,\u_logic/_al_u4000_o }),
.q({open_n133500,\UART_RX/shift_reg [0]})); // ../rtl/peripherals/UART_RX.v(13)
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*~(C)*~(D)*~(0)+B*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+B*C*~(D)*~(0)+~(B)*C*D*~(0)+B*C*D*~(0)+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*~(C)*~(D)*~(1)+B*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+B*C*~(D)*~(1)+~(B)*C*D*~(1)+B*C*D*~(1)+~(B)*C*D*1))"),
.INIT_LUT0(16'b1010000010101010),
.INIT_LUT1(16'b0010000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3997 (
.a({\u_logic/_al_u3996_o ,\u_logic/_al_u3996_o }),
.b({HADDR[2],HADDR[2]}),
.c({HADDR[6],HADDR[6]}),
.d({HADDR[4],HADDR[4]}),
.mi({open_n133513,HADDR[3]}),
.fx({open_n133518,\u_logic/_al_u3997_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u399|u_logic/_al_u397 (
.c({\u_logic/Ydopw6 ,\u_logic/Ydopw6 }),
.d({\u_logic/P14qw6 ,\u_logic/P14qw6 }),
.f({\u_logic/Nbkiu6_lutinv ,\u_logic/_al_u397_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111011101111100),
.INIT_LUT1(16'b1111011101111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4003 (
.a({\u_logic/Gm9iu6_lutinv ,\u_logic/Gm9iu6_lutinv }),
.b({\u_logic/Zl9iu6_lutinv ,\u_logic/Zl9iu6_lutinv }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.mi({open_n133561,HADDR[7]}),
.fx({open_n133566,\u_logic/_al_u4003_o }));
// ../rtl/demodulation/FM_HW.v(154)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(D*B*~(C*~A))"),
//.LUTG0("(~D)"),
//.LUTG1("(D*B*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b1000110000000000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b1000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4004|FM_HW/reg0_b1 (
.a({HADDR[2],open_n133569}),
.b({HADDR[8],open_n133570}),
.c({HADDR[3],open_n133571}),
.clk(\FM_HW/EOC_gclk_net ),
.d({HADDR[11],\FM_HW/Channel [1]}),
.sr(RSTn_pad),
.f({\u_logic/_al_u4004_o ,open_n133589}),
.q({open_n133593,\FM_HW/Channel [1]})); // ../rtl/demodulation/FM_HW.v(154)
// ../rtl/peripherals/UART_RX.v(13)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~D*~C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\u_logic/_al_u4005|UART_RX/reg2_b2 (
.a({\u_logic/_al_u4004_o ,open_n133594}),
.b({HADDR[10],open_n133595}),
.c({HADDR[9],HADDR[5]}),
.clk(clk_pad),
.d(HADDR[7:6]),
.mi({open_n133607,\UART_RX/shift_reg [3]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4005_o ,\u_logic/_al_u4006_o }),
.q({open_n133611,\UART_RX/shift_reg [2]})); // ../rtl/peripherals/UART_RX.v(13)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*~(~C*~(~0*~B))))"),
//.LUT1("(~A*~(D*~(~C*~(~1*~B))))"),
.INIT_LUT0(16'b0000010001010101),
.INIT_LUT1(16'b0000010101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4007 (
.a({\u_logic/_al_u4000_o ,\u_logic/_al_u4000_o }),
.b({\u_logic/_al_u4003_o ,\u_logic/_al_u4003_o }),
.c({\u_logic/_al_u4005_o ,\u_logic/_al_u4005_o }),
.d({\u_logic/_al_u4006_o ,\u_logic/_al_u4006_o }),
.mi({open_n133624,HADDR[11]}),
.fx({open_n133629,\u_logic/_al_u4007_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4011|u_logic/_al_u4010 (
.a({\u_logic/_al_u2460_o ,\u_logic/_al_u2501_o }),
.b({\u_logic/_al_u2481_o ,\u_logic/_al_u2493_o }),
.c({\u_logic/_al_u2489_o ,\u_logic/_al_u2497_o }),
.d({\u_logic/_al_u2485_o ,\u_logic/_al_u2505_o }),
.f({\u_logic/Qr9iu6 ,\u_logic/Jr9iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*~A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*C*~B*~A)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0001000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0001000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4012|_al_u608 (
.a({open_n133652,\Interconncet/SlaveMUX/hsel_reg [0]}),
.b({\u_logic/Jr9iu6 ,\Interconncet/SlaveMUX/hsel_reg [1]}),
.c({\u_logic/Qr9iu6 ,\Interconncet/SlaveMUX/hsel_reg [2]}),
.d({\u_logic/Vq9iu6 ,\UART_Interface/rd_en_reg }),
.f({\u_logic/In9iu6 ,_al_u608_o}));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*~(~D*~A))"),
//.LUTF1("~(A*~((D*C))*~(B)+A*(D*C)*~(B)+~(A)*(D*C)*B+A*(D*C)*B)"),
//.LUTG0("(C*B*~(~D*~A))"),
//.LUTG1("~(A*~((D*C))*~(B)+A*(D*C)*~(B)+~(A)*(D*C)*B+A*(D*C)*B)"),
.INIT_LUTF0(16'b1100000010000000),
.INIT_LUTF1(16'b0001110111011101),
.INIT_LUTG0(16'b1100000010000000),
.INIT_LUTG1(16'b0001110111011101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4013|u_logic/_al_u3996 (
.a({\u_logic/In9iu6 ,\u_logic/n5754 }),
.b({\u_logic/n5754 ,HADDR[5]}),
.c({\u_logic/_al_u2936_o ,HADDR[11]}),
.d({\u_logic/_al_u2946_o ,\u_logic/_al_u2759_o }),
.f({\u_logic/_al_u4013_o ,\u_logic/_al_u3996_o }));
// ../rtl/AHBsubordinate/AHBlite_UART.v(32)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(C*B*~D)"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(C*B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b0000000011000000),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b0000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4014|UART_Interface/reg0_b2 (
.b({HADDR[15],\u_logic/_al_u2759_o }),
.c({HSIZE[1],\u_logic/_al_u2776_o }),
.ce(\UART_Interface/n5 ),
.clk(clk_pad),
.d({\u_logic/_al_u4013_o ,\u_logic/n5754 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4014_o ,HADDR[2]}),
.q({open_n133722,\UART_Interface/addr_reg [2]})); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
// ../rtl/topmodule/cortexm0ds_logic.v(20248)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~(C*B*A))"),
//.LUTF1("(~(0*~D)*~(~A*(C@B)))"),
//.LUTG0("~(~D*~(C*B*A))"),
//.LUTG1("(~(1*~D)*~(~A*(C@B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111110000000),
.INIT_LUTF1(16'b1110101111101011),
.INIT_LUTG0(16'b1111111110000000),
.INIT_LUTG1(16'b1110101100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4015|u_logic/Rekbx6_reg (
.a({\u_logic/_al_u2968_o ,\u_logic/Uzhiu6 }),
.b({HADDR[10],\u_logic/G3eiu6 }),
.c({HADDR[3],\u_logic/_al_u2282_o }),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,SYSRESETREQ}),
.e({\u_logic/W5ypw6 ,open_n133724}),
.sr(cpuresetn),
.f({\u_logic/_al_u4015_o ,open_n133739}),
.q({open_n133743,SYSRESETREQ})); // ../rtl/topmodule/cortexm0ds_logic.v(20248)
// ../rtl/topmodule/CortexM0_SoC.v(98)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~(0*~D)*~(~A*(C@B)))"),
//.LUTG0("(~D)"),
//.LUTG1("(~(1*~D)*~(~A*(C@B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b1110101111101011),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b1110101100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4017|cpuresetn_reg (
.a({\u_logic/_al_u2968_o ,open_n133744}),
.b({HADDR[2],open_n133745}),
.c({HADDR[7],open_n133746}),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,SYSRESETREQ}),
.e({\u_logic/Ztupw6 ,open_n133748}),
.sr(RSTn_pad),
.f({\u_logic/_al_u4017_o ,open_n133763}),
.q({open_n133767,cpuresetn})); // ../rtl/topmodule/CortexM0_SoC.v(98)
EG_PHY_MSLICE #(
//.LUT0("(~(0*~D)*~(~A*(C@B)))"),
//.LUT1("(~(1*~D)*~(~A*(C@B)))"),
.INIT_LUT0(16'b1110101111101011),
.INIT_LUT1(16'b1110101100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4019 (
.a({\u_logic/_al_u2968_o ,\u_logic/_al_u2968_o }),
.b({HADDR[5],HADDR[5]}),
.c({HADDR[3],HADDR[3]}),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.mi({open_n133780,\u_logic/R9yax6 }),
.fx({open_n133785,\u_logic/_al_u4019_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D*C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0100110011001100),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u401|u_logic/_al_u1609 (
.a({\u_logic/A95iu6_lutinv ,\u_logic/_al_u1498_o }),
.b({\u_logic/Nbkiu6_lutinv ,\u_logic/Kubow6 }),
.c({\u_logic/L88iu6_lutinv ,\u_logic/_al_u723_o }),
.d({\u_logic/Irmpw6 ,\u_logic/N4kax6 }),
.f({\u_logic/Ck1ju6 ,\u_logic/Aaiiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19056)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*~C*B*A)"),
//.LUTF1("(~(D*~C)*~(~B*~A))"),
//.LUTG0("(1*D*~C*B*A)"),
//.LUTG1("(~(D*~C)*~(~B*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1110000011101110),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b1110000011101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4021|u_logic/G0zax6_reg (
.a({\u_logic/_al_u2968_o ,\u_logic/Uzhiu6 }),
.b({HADDR[4],\u_logic/I4eiu6 }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/Ur4iu6 }),
.ce(\u_logic/n1199 ),
.clk(clk_pad),
.d({\u_logic/I5xax6 ,\u_logic/Wofiu6_lutinv }),
.e({open_n133808,\u_logic/_al_u2282_o }),
.mi({open_n133810,\u_logic/I4eiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4021_o ,\u_logic/_al_u2351_o }),
.q({open_n133825,\u_logic/G0zax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19056)
EG_PHY_MSLICE #(
//.LUT0("(~0*B*(~C*~(A)*~(D)+~C*A*~(D)+~(~C)*A*D+~C*A*D))"),
//.LUT1("(~1*B*(~C*~(A)*~(D)+~C*A*~(D)+~(~C)*A*D+~C*A*D))"),
.INIT_LUT0(16'b1000100000001100),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4028 (
.a({\u_logic/Ae0iu6_lutinv ,\u_logic/Ae0iu6_lutinv }),
.b({\u_logic/D6kiu6_lutinv ,\u_logic/D6kiu6_lutinv }),
.c({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.mi({open_n133838,\u_logic/Ufopw6 }),
.fx({open_n133843,\u_logic/_al_u4028_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*D*~C*B*A)"),
//.LUT1("(~1*D*~C*B*A)"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4029 (
.a({\u_logic/Veziu6 ,\u_logic/Veziu6 }),
.b({\u_logic/Oeziu6 ,\u_logic/Oeziu6 }),
.c({\u_logic/_al_u2159_o ,\u_logic/_al_u2159_o }),
.d({\u_logic/_al_u4027_o ,\u_logic/_al_u4027_o }),
.mi({open_n133858,\u_logic/_al_u4028_o }),
.fx({open_n133863,\u_logic/_al_u4029_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~(~B*A))"),
//.LUTF1("(~C*~(D*B*A))"),
//.LUTG0("(D*~C*~(~B*A))"),
//.LUTG1("(~C*~(D*B*A))"),
.INIT_LUTF0(16'b0000110100000000),
.INIT_LUTF1(16'b0000011100001111),
.INIT_LUTG0(16'b0000110100000000),
.INIT_LUTG1(16'b0000011100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4030|u_logic/_al_u1247 (
.a({\u_logic/A95iu6_lutinv ,\u_logic/_al_u1246_o }),
.b({\u_logic/Xuyiu6_lutinv ,\u_logic/N4kax6 }),
.c({\u_logic/_al_u402_o ,\u_logic/U9ypw6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u4030_o ,\u_logic/_al_u1247_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~D*~(~C*B*~A))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000011111011),
.MODE("LOGIC"))
\u_logic/_al_u4034|u_logic/_al_u159 (
.a({\u_logic/_al_u4031_o ,open_n133890}),
.b({\u_logic/_al_u4032_o ,open_n133891}),
.c({\u_logic/_al_u4033_o ,\u_logic/Vygax6 }),
.d({\u_logic/Vygax6 ,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/_al_u4034_o ,\u_logic/_al_u159_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*D))"),
//.LUTF1("(A*~(D*C*~B))"),
//.LUTG0("(~B*~(C*D))"),
//.LUTG1("(A*~(D*C*~B))"),
.INIT_LUTF0(16'b0000001100110011),
.INIT_LUTF1(16'b1000101010101010),
.INIT_LUTG0(16'b0000001100110011),
.INIT_LUTG1(16'b1000101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4035|u_logic/_al_u2860 (
.a({\u_logic/_al_u647_o ,open_n133912}),
.b({\u_logic/Fnnpw6 ,\u_logic/_al_u2847_o }),
.c({\u_logic/G0zax6 ,\u_logic/Hgrpw6 }),
.d({\u_logic/vis_pc_o[2] ,\u_logic/R05iu6 }),
.f({\u_logic/_al_u4035_o ,\u_logic/_al_u2860_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~0*~D*C*B))"),
//.LUT1("(~A*~(~1*~D*C*B))"),
.INIT_LUT0(16'b0101010100010101),
.INIT_LUT1(16'b0101010101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4037 (
.a({\u_logic/_al_u1800_o ,\u_logic/_al_u1800_o }),
.b({\u_logic/T4aow6 ,\u_logic/T4aow6 }),
.c({\u_logic/_al_u391_o ,\u_logic/_al_u391_o }),
.d({\u_logic/Dxvpw6 ,\u_logic/Dxvpw6 }),
.mi({open_n133949,\u_logic/Vzupw6 }),
.fx({open_n133954,\u_logic/Mdziu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(0*~D*C*~B*A)"),
//.LUT1("(1*~D*C*~B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0000000000100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4038 (
.a({\u_logic/_al_u4029_o ,\u_logic/_al_u4029_o }),
.b({\u_logic/_al_u4034_o ,\u_logic/_al_u4034_o }),
.c({\u_logic/Rcziu6 ,\u_logic/Rcziu6 }),
.d({\u_logic/_al_u4036_o ,\u_logic/_al_u4036_o }),
.mi({open_n133969,\u_logic/Mdziu6_lutinv }),
.fx({open_n133974,\u_logic/_al_u4038_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*~(~B*~(D*A)))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*~(~B*~(D*A)))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1110000011000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1110000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u403|u_logic/_al_u701 (
.a({\u_logic/_al_u397_o ,open_n133977}),
.b({\u_logic/_al_u402_o ,open_n133978}),
.c({\u_logic/Jckax6 ,\u_logic/P5vpw6 }),
.d({\u_logic/Ssjax6 ,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/_al_u403_o ,\u_logic/Llaow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~C*~(~D*~A)))"),
//.LUTF1("(B*~(~D*C*A))"),
//.LUTG0("(B*~(~C*~(~D*~A)))"),
//.LUTG1("(B*~(~D*C*A))"),
.INIT_LUTF0(16'b1100000011000100),
.INIT_LUTF1(16'b1100110001001100),
.INIT_LUTG0(16'b1100000011000100),
.INIT_LUTG1(16'b1100110001001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4040|u_logic/_al_u4039 (
.a({\u_logic/J8ziu6 ,\u_logic/X8ziu6_lutinv }),
.b({\u_logic/_al_u4039_o ,\u_logic/P14qw6 }),
.c({\u_logic/_al_u1771_o ,\u_logic/Rwjax6 }),
.d({\u_logic/Jgxpw6 ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u4040_o ,\u_logic/_al_u4039_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~B*~(~D*~C)))"),
//.LUTF1("(C*~A*~(~D*B))"),
//.LUTG0("(A*~(~B*~(~D*~C)))"),
//.LUTG1("(C*~A*~(~D*B))"),
.INIT_LUTF0(16'b1000100010001010),
.INIT_LUTF1(16'b0101000000010000),
.INIT_LUTG0(16'b1000100010001010),
.INIT_LUTG1(16'b0101000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4043|u_logic/_al_u1667 (
.a({\u_logic/_al_u4042_o ,\u_logic/Vviiu6 }),
.b({\u_logic/_al_u1246_o ,\u_logic/Dxvpw6 }),
.c({\u_logic/Jiiiu6 ,\u_logic/P0kax6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/Rwjax6 }),
.f({\u_logic/E9ziu6_lutinv ,\u_logic/_al_u1667_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(D*C)*~(0*A))"),
//.LUTF1("(~B*~(D*~C*~A))"),
//.LUTG0("(~B*~(D*C)*~(1*A))"),
//.LUTG1("(~B*~(D*~C*~A))"),
.INIT_LUTF0(16'b0000001100110011),
.INIT_LUTF1(16'b0011001000110011),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b0011001000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4044|u_logic/_al_u2731 (
.a({\u_logic/_al_u4040_o ,\u_logic/_al_u723_o }),
.b({\u_logic/E9ziu6_lutinv ,\u_logic/_al_u1762_o }),
.c({\u_logic/Dxvpw6 ,\u_logic/_al_u402_o }),
.d({\u_logic/U9ypw6 ,\u_logic/Nyiiu6 }),
.e({open_n134053,\u_logic/_al_u2468_o }),
.f({\u_logic/_al_u4044_o ,\u_logic/_al_u2731_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~C*~(B*A)))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000000011111000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u4045|u_logic/_al_u1761 (
.a({open_n134074,\u_logic/_al_u151_o }),
.b({open_n134075,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/_al_u1612_o ,\u_logic/_al_u644_o }),
.d({\u_logic/_al_u1101_o ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u4045_o ,\u_logic/_al_u1761_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19596)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b1101010011110101),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b1101010011110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4048|u_logic/I74bx6_reg (
.a({\u_logic/H4zax6 ,\u_logic/_al_u4317_o }),
.b({\u_logic/J6zax6 ,\u_logic/_al_u4318_o }),
.c({\u_logic/L8zax6 ,\u_logic/G2fiu6 }),
.ce(\u_logic/n1115 ),
.clk(clk_pad),
.d({\u_logic/V5abx6 ,\u_logic/G25bx6 }),
.mi({open_n134099,HWDATA[7]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4048_o ,\u_logic/_al_u4319_o }),
.q({open_n134114,\u_logic/I74bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19596)
EG_PHY_LSLICE #(
//.LUTF0("(A*(C*~((~D*B))*~(0)+~(C)*(~D*B)*~(0)+C*(~D*B)*~(0)+C*(~D*B)*0))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(A*(C*~((~D*B))*~(1)+~(C)*(~D*B)*~(1)+C*(~D*B)*~(1)+C*(~D*B)*1))"),
//.LUTG1("(C*~(B*~D))"),
.INIT_LUTF0(16'b1010000010101000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4051|u_logic/_al_u4212 (
.a({open_n134115,\u_logic/_al_u4170_o }),
.b({\u_logic/_al_u4049_o ,\u_logic/Bcabx6 }),
.c({\u_logic/_al_u4050_o ,\u_logic/S3mpw6 }),
.d({\u_logic/_al_u4048_o ,\u_logic/Vbspw6 }),
.e({open_n134118,\u_logic/Yryax6 }),
.f({\u_logic/_al_u4051_o ,\u_logic/_al_u4212_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\u_logic/_al_u4052|u_logic/_al_u4203 (
.b({\u_logic/J6zax6 ,\u_logic/Nv9bx6 }),
.c({\u_logic/V5abx6 ,\u_logic/Xozax6 }),
.d({\u_logic/_al_u4051_o ,\u_logic/_al_u4202_o }),
.f({\u_logic/L3sow6_lutinv ,\u_logic/Altow6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(19122)
EG_PHY_LSLICE #(
//.LUTF0("(~C*A*~(D*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*A*~(D*B))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001000001010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4053|u_logic/Wmzax6_reg (
.a({open_n134161,\u_logic/_al_u2199_o }),
.b({open_n134162,\u_logic/_al_u2050_o }),
.c({\u_logic/V52bx6 ,\u_logic/_al_u2200_o }),
.ce(\u_logic/n987 ),
.clk(clk_pad),
.d({\u_logic/Ln0bx6 ,\u_logic/Pczax6 }),
.mi({open_n134166,\u_logic/Fsdiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4053_o ,\u_logic/_al_u2201_o }),
.q({open_n134181,\u_logic/Wmzax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19122)
// ../rtl/topmodule/cortexm0ds_logic.v(19050)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4054|u_logic/Eyyax6_reg (
.c({\u_logic/P12bx6 ,\u_logic/Tgzax6 }),
.ce(\u_logic/n1116 ),
.clk(clk_pad),
.d({\u_logic/Kl0bx6 ,\u_logic/_al_u1903_o }),
.mi({open_n134189,HWDATA[31]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4054_o ,\u_logic/_al_u2219_o }),
.q({open_n134204,\u_logic/Eyyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19050)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1111001101000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1111001101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4055|u_logic/_al_u4434 (
.a({\u_logic/_al_u4053_o ,\u_logic/S1fiu6 }),
.b({\u_logic/_al_u4054_o ,\u_logic/U2fiu6 }),
.c({\u_logic/Nazax6 ,\u_logic/Nazax6 }),
.d({\u_logic/Rezax6 ,\u_logic/Od4bx6 }),
.f({\u_logic/_al_u4055_o ,\u_logic/_al_u4434_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1111001101000000),
.MODE("LOGIC"))
\u_logic/_al_u4056|u_logic/_al_u4433 (
.a({\u_logic/_al_u4049_o ,\u_logic/F0eow6 }),
.b({\u_logic/_al_u4050_o ,\u_logic/M0eow6 }),
.c({\u_logic/H4zax6 ,\u_logic/Ot0bx6 }),
.d({\u_logic/L8zax6 ,\u_logic/Xq2bx6 }),
.f({\u_logic/J2sow6_lutinv ,\u_logic/_al_u4433_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~C*~B)*~(~D*~A))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b1111110010101000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u4057|u_logic/_al_u4130 (
.a({open_n134249,\u_logic/_al_u4055_o }),
.b({open_n134250,\u_logic/J2sow6_lutinv }),
.c({\u_logic/J2sow6_lutinv ,\u_logic/_al_u4062_o }),
.d({\u_logic/_al_u4055_o ,\u_logic/_al_u4058_o }),
.f({\u_logic/_al_u4057_o ,\u_logic/_al_u4130_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(~C*~(~B*D))"),
.INIT_LUT0(16'b1010110010101000),
.INIT_LUT1(16'b0000110000001111),
.MODE("LOGIC"))
\u_logic/_al_u4059|u_logic/_al_u4064 (
.a({open_n134271,\u_logic/_al_u4055_o }),
.b({\u_logic/J2sow6_lutinv ,\u_logic/J2sow6_lutinv }),
.c({\u_logic/_al_u4058_o ,\u_logic/_al_u4062_o }),
.d({\u_logic/_al_u4055_o ,\u_logic/_al_u4058_o }),
.f({\u_logic/_al_u4059_o ,\u_logic/Gxrow6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(19044)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(D*C))"),
//.LUTF1("(A*(B*~((~D*C))*~(0)+~(B)*(~D*C)*~(0)+B*(~D*C)*~(0)+B*(~D*C)*0))"),
//.LUTG0("(~B*~A*~(D*C))"),
//.LUTG1("(A*(B*~((~D*C))*~(1)+~(B)*(~D*C)*~(1)+B*(~D*C)*~(1)+B*(~D*C)*1))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100010001),
.INIT_LUTF1(16'b1000100010101000),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4060|u_logic/Cwyax6_reg (
.a({\u_logic/_al_u4053_o ,\u_logic/_al_u2225_o }),
.b({\u_logic/Nazax6 ,\u_logic/_al_u2226_o }),
.c({\u_logic/Nhgbx6 ,\u_logic/Bggiu6_lutinv }),
.ce(\u_logic/n1116 ),
.clk(clk_pad),
.d({\u_logic/Pczax6 ,\u_logic/I74bx6 }),
.e({\u_logic/Rezax6 ,open_n134292}),
.mi({open_n134294,HWDATA[30]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4060_o ,\u_logic/_al_u2227_o }),
.q({open_n134309,\u_logic/Cwyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19044)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*~(C)*~((B*~A))+D*C*~((B*~A))+~(D)*C*(B*~A)+D*C*(B*~A))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111101101000000),
.MODE("LOGIC"))
\u_logic/_al_u4061|u_logic/_al_u83 (
.a({\u_logic/_al_u4060_o ,open_n134310}),
.b({\u_logic/_al_u4054_o ,open_n134311}),
.c({\u_logic/Nhgbx6 ,\u_logic/C1wpw6 }),
.d({\u_logic/Pczax6 ,\u_logic/U2fiu6 }),
.f({\u_logic/E3sow6_lutinv ,\u_logic/n1115 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4062|u_logic/_al_u2195 (
.a({\u_logic/Dt1bx6 ,open_n134332}),
.b({\u_logic/Ih0bx6 ,\u_logic/A9row6_lutinv }),
.c({\u_logic/Jj0bx6 ,\u_logic/Pjgbx6 }),
.d({\u_logic/Jx1bx6 ,\u_logic/_al_u2029_o }),
.f({\u_logic/_al_u4062_o ,\u_logic/_al_u2195_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1)"),
.INIT_LUT0(16'b0001010111110101),
.INIT_LUT1(16'b0000000011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4063 (
.a({\u_logic/L3sow6_lutinv ,\u_logic/L3sow6_lutinv }),
.b({\u_logic/_al_u4057_o ,\u_logic/_al_u4057_o }),
.c({\u_logic/_al_u4059_o ,\u_logic/_al_u4059_o }),
.d({\u_logic/E3sow6_lutinv ,\u_logic/E3sow6_lutinv }),
.mi({open_n134369,\u_logic/_al_u4062_o }),
.fx({open_n134374,\u_logic/_al_u4063_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4065|u_logic/_al_u4189 (
.b({open_n134379,\u_logic/M85bx6 }),
.c({\u_logic/Xq2bx6 ,\u_logic/Pjgbx6 }),
.d({\u_logic/Ot0bx6 ,\u_logic/Whgow6 }),
.f({\u_logic/_al_u4065_o ,\u_logic/E8uow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4066|u_logic/_al_u4068 (
.a({open_n134404,\u_logic/B3gbx6 }),
.b({open_n134405,\u_logic/Ot0bx6 }),
.c({\u_logic/Y0gbx6 ,\u_logic/Xq2bx6 }),
.d({\u_logic/B3gbx6 ,\u_logic/Y0gbx6 }),
.f({\u_logic/_al_u4066_o ,\u_logic/_al_u4068_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0001001100000011),
.INIT_LUTF1(16'b1111001101000000),
.INIT_LUTG0(16'b0101011100000111),
.INIT_LUTG1(16'b1111001101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4067|u_logic/_al_u4079 (
.a({\u_logic/_al_u4065_o ,\u_logic/_al_u4072_o }),
.b({\u_logic/_al_u4066_o ,\u_logic/_al_u4065_o }),
.c({\u_logic/Slyax6 ,\u_logic/_al_u4066_o }),
.d({\u_logic/Wpyax6 ,\u_logic/Slyax6 }),
.e({open_n134428,\u_logic/Wpyax6 }),
.f({\u_logic/V1sow6_lutinv ,\u_logic/_al_u4079_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u4069|u_logic/_al_u4317 (
.a({\u_logic/Fe2bx6 ,\u_logic/F0eow6 }),
.b({\u_logic/Li2bx6 ,\u_logic/M0eow6 }),
.c({\u_logic/Mp0bx6 ,\u_logic/Gd0bx6 }),
.d({\u_logic/Nr0bx6 ,\u_logic/Xo1bx6 }),
.f({\u_logic/_al_u4069_o ,\u_logic/_al_u4317_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~A*~(D*B))"),
//.LUT1("(D*~C*B*~A)"),
.INIT_LUT0(16'b0000000100000101),
.INIT_LUT1(16'b0000010000000000),
.MODE("LOGIC"))
\u_logic/_al_u406|u_logic/_al_u3151 (
.a({\u_logic/A6cbx6 ,\u_logic/_al_u3150_o }),
.b({\u_logic/Ahdbx6 ,\u_logic/Bo1iu6 }),
.c({\u_logic/Bp2qw6 ,\u_logic/_al_u2974_o }),
.d({\u_logic/Cfvpw6 ,\u_logic/Jl3qw6 }),
.f({\u_logic/_al_u406_o ,\u_logic/_al_u3151_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19833)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4071|u_logic/Nt9bx6_reg (
.a({open_n134489,HWDATA[6]}),
.b({open_n134490,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Mp0bx6 ,\u_logic/Nt9bx6 }),
.clk(clk_pad),
.d({\u_logic/Fe2bx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4071_o ,open_n134504}),
.q({open_n134508,\u_logic/Nt9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19833)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0)*~((C*~(B*~A)))+D*0*~((C*~(B*~A)))+~(D)*0*(C*~(B*~A))+D*0*(C*~(B*~A)))"),
//.LUTF1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(D*~(1)*~((C*~(B*~A)))+D*1*~((C*~(B*~A)))+~(D)*1*(C*~(B*~A))+D*1*(C*~(B*~A)))"),
//.LUTG1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0100111100000000),
.INIT_LUTF1(16'b1111001101000000),
.INIT_LUTG0(16'b1111111110110000),
.INIT_LUTG1(16'b1111001101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4072|u_logic/_al_u4083 (
.a({\u_logic/_al_u4070_o ,\u_logic/_al_u4082_o }),
.b({\u_logic/_al_u4071_o ,\u_logic/_al_u4070_o }),
.c({\u_logic/Mfyax6 ,\u_logic/_al_u4071_o }),
.d({\u_logic/Qjyax6 ,\u_logic/Ohyax6 }),
.e({open_n134511,\u_logic/T3abx6 }),
.f({\u_logic/_al_u4072_o ,\u_logic/Z3sow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~((0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C))*~(B)+A*(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*~(B)+~(A)*(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*B+A*(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*B)"),
//.LUTF1("(A*~(B)*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(A*~((1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C))*~(B)+A*(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*~(B)+~(A)*(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*B+A*(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*B)"),
//.LUTG1("(A*~(B)*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110001000100010),
.INIT_LUTF1(16'b1010111010100000),
.INIT_LUTG0(16'b1110111000101110),
.INIT_LUTG1(16'b1010111010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4073|u_logic/_al_u4370 (
.a({\u_logic/V1sow6_lutinv ,\u_logic/Zfgow6_lutinv }),
.b({\u_logic/_al_u4068_o ,\u_logic/_al_u4308_o }),
.c({\u_logic/_al_u4069_o ,\u_logic/_al_u4161_o }),
.d({\u_logic/_al_u4072_o ,\u_logic/_al_u4368_o }),
.e({open_n134534,\u_logic/_al_u4369_o }),
.f({\u_logic/_al_u4073_o ,\u_logic/Lfgow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111110000100000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4074|u_logic/_al_u4084 (
.a({open_n134555,\u_logic/_al_u4078_o }),
.b({open_n134556,\u_logic/_al_u4079_o }),
.c({\u_logic/_al_u4069_o ,\u_logic/S3sow6_lutinv }),
.d({\u_logic/_al_u4068_o ,\u_logic/Z3sow6_lutinv }),
.e({open_n134559,\u_logic/_al_u4069_o }),
.f({\u_logic/_al_u4074_o ,\u_logic/_al_u4084_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~C*~B*A))"),
//.LUTF1("(~D*~(~C*~(B*~A)))"),
//.LUTG0("(~D*~(~C*~B*A))"),
//.LUTG1("(~D*~(~C*~(B*~A)))"),
.INIT_LUTF0(16'b0000000011111101),
.INIT_LUTF1(16'b0000000011110100),
.INIT_LUTG0(16'b0000000011111101),
.INIT_LUTG1(16'b0000000011110100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4076|u_logic/_al_u4077 (
.a({\u_logic/Gxrow6_lutinv ,\u_logic/Gxrow6_lutinv }),
.b({\u_logic/_al_u4073_o ,\u_logic/_al_u4073_o }),
.c({\u_logic/_al_u4074_o ,\u_logic/_al_u4074_o }),
.d({\u_logic/_al_u4075_o ,\u_logic/_al_u4075_o }),
.f({\u_logic/_al_u4076_o ,\u_logic/_al_u4077_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTG0("(C*~D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4078 (
.c({open_n134608,\u_logic/_al_u4072_o }),
.d({open_n134611,\u_logic/V1sow6_lutinv }),
.f({open_n134629,\u_logic/_al_u4078_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18023)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~A*~(D*C))"),
//.LUTF1("(D*C*~B*~A)"),
//.LUTG0("~(B*~A*~(D*C))"),
//.LUTG1("(D*C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111101110111011),
.INIT_LUTF1(16'b0001000000000000),
.INIT_LUTG0(16'b1111101110111011),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u407|u_logic/V53qw6_reg (
.a({\u_logic/Ra2qw6 ,\u_logic/_al_u3516_o }),
.b({\u_logic/Urgbx6 ,\u_logic/Hnqiu6 }),
.c({\u_logic/Ymwpw6 ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/Yzqpw6 ,\u_logic/Pg3qw6 }),
.f({\u_logic/_al_u407_o ,open_n134653}),
.q({open_n134657,\u_logic/V53qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18023)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~D*~B*~A))"),
//.LUTF1("(~(~D*~B)*~(C*A))"),
//.LUTG0("(C*~(~D*~B*~A))"),
//.LUTG1("(~(~D*~B)*~(C*A))"),
.INIT_LUTF0(16'b1111000011100000),
.INIT_LUTF1(16'b0101111101001100),
.INIT_LUTG0(16'b1111000011100000),
.INIT_LUTG1(16'b0101111101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4085|u_logic/_al_u4311 (
.a({\u_logic/_al_u4063_o ,\u_logic/_al_u4063_o }),
.b({\u_logic/_al_u4076_o ,\u_logic/_al_u4076_o }),
.c({\u_logic/_al_u4077_o ,\u_logic/_al_u4077_o }),
.d({\u_logic/_al_u4084_o ,\u_logic/_al_u4084_o }),
.f({\u_logic/Xvrow6_lutinv ,\u_logic/_al_u4311_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17459)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4087|u_logic/Elnpw6_reg (
.c({\u_logic/Rm2bx6 ,\u_logic/Vynow6_lutinv }),
.ce(\u_logic/n1200 ),
.clk(clk_pad),
.d({\u_logic/Pv0bx6 ,\u_logic/Cznow6 }),
.mi({open_n134689,HWDATA[31]}),
.sr(cpuresetn),
.f({\u_logic/Qusow6 ,\u_logic/C0fiu6 }),
.q({open_n134704,\u_logic/Elnpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17459)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1101010011110101),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1101010011110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4090|u_logic/_al_u121 (
.a({\u_logic/C14bx6 ,open_n134705}),
.b({\u_logic/E34bx6 ,open_n134706}),
.c({\u_logic/G54bx6 ,\u_logic/C1wpw6 }),
.d({\u_logic/Jdgbx6 ,\u_logic/C0fiu6 }),
.f({\u_logic/_al_u4090_o ,\u_logic/n1110 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18070)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4091|u_logic/Gz6ax6_reg (
.c({\u_logic/Qx0bx6 ,\u_logic/X5upw6 }),
.ce(\u_logic/n1200 ),
.clk(clk_pad),
.d({\u_logic/P33bx6 ,\u_logic/Jz2bx6 }),
.mi({open_n134738,HWDATA[23]}),
.sr(cpuresetn),
.f({\u_logic/Amsow6 ,\u_logic/_al_u4092_o }),
.q({open_n134753,\u_logic/Gz6ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18070)
EG_PHY_MSLICE #(
//.LUT0("(D*~(0)*~((C*~(B*~A)))+D*0*~((C*~(B*~A)))+~(D)*0*(C*~(B*~A))+D*0*(C*~(B*~A)))"),
//.LUT1("(D*~(1)*~((C*~(B*~A)))+D*1*~((C*~(B*~A)))+~(D)*1*(C*~(B*~A))+D*1*(C*~(B*~A)))"),
.INIT_LUT0(16'b0100111100000000),
.INIT_LUT1(16'b1111111110110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4093 (
.a({\u_logic/_al_u4090_o ,\u_logic/_al_u4090_o }),
.b({\u_logic/Amsow6 ,\u_logic/Amsow6 }),
.c({\u_logic/_al_u4092_o ,\u_logic/_al_u4092_o }),
.d({\u_logic/E34bx6 ,\u_logic/E34bx6 }),
.mi({open_n134766,\u_logic/Jdgbx6 }),
.fx({open_n134771,\u_logic/Pqsow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(B)*~((C*~A))*~(D)+B*~((C*~A))*~(D)+B*(C*~A)*~(D)+B*~((C*~A))*D)"),
//.LUT1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1000110011101111),
.INIT_LUT1(16'b1111001101000000),
.MODE("LOGIC"))
\u_logic/_al_u4094|u_logic/_al_u4100 (
.a({\u_logic/Amsow6 ,\u_logic/Aw4bx6 }),
.b({\u_logic/_al_u4092_o ,\u_logic/Cy4bx6 }),
.c({\u_logic/C14bx6 ,\u_logic/Hbgbx6 }),
.d({\u_logic/G54bx6 ,\u_logic/Yt4bx6 }),
.f({\u_logic/_al_u4094_o ,\u_logic/_al_u4100_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(D)*~((C*~(B*~A)))+0*D*~((C*~(B*~A)))+~(0)*D*(C*~(B*~A))+0*D*(C*~(B*~A)))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(1*~(D)*~((C*~(B*~A)))+1*D*~((C*~(B*~A)))+~(1)*D*(C*~(B*~A))+1*D*(C*~(B*~A)))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1011000000000000),
.INIT_LUTF1(16'b1111010000110000),
.INIT_LUTG0(16'b1111111101001111),
.INIT_LUTG1(16'b1111010000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4095|u_logic/_al_u4089 (
.a({\u_logic/Qusow6 ,\u_logic/_al_u4086_o }),
.b({\u_logic/_al_u4088_o ,\u_logic/Qusow6 }),
.c({\u_logic/Az3bx6 ,\u_logic/_al_u4088_o }),
.d({\u_logic/Wu3bx6 ,\u_logic/R1abx6 }),
.e({open_n134796,\u_logic/Yw3bx6 }),
.f({\u_logic/Atsow6_lutinv ,\u_logic/Iqsow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*~(C*~B)))"),
//.LUTF1("(~((~B*A))*~(C)*~(D)+~((~B*A))*C*~(D)+(~B*A)*C*~(D)+~((~B*A))*C*D)"),
//.LUTG0("(~A*~(D*~(C*~B)))"),
//.LUTG1("(~((~B*A))*~(C)*~(D)+~((~B*A))*C*~(D)+(~B*A)*C*~(D)+~((~B*A))*C*D)"),
.INIT_LUTF0(16'b0001000001010101),
.INIT_LUTF1(16'b1101000011111101),
.INIT_LUTG0(16'b0001000001010101),
.INIT_LUTG1(16'b1101000011111101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4096|u_logic/_al_u4375 (
.a({\u_logic/Iqsow6_lutinv ,\u_logic/_al_u4343_o }),
.b({\u_logic/Pqsow6_lutinv ,\u_logic/_al_u4090_o }),
.c({\u_logic/_al_u4094_o ,\u_logic/Amsow6 }),
.d({\u_logic/Atsow6_lutinv ,\u_logic/_al_u4092_o }),
.f({\u_logic/_al_u4096_o ,\u_logic/_al_u4375_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u4097|u_logic/_al_u737 (
.a({\u_logic/Jz2bx6 ,open_n134841}),
.b({\u_logic/P33bx6 ,open_n134842}),
.c({\u_logic/Qx0bx6 ,\u_logic/Vynow6_lutinv }),
.d({\u_logic/X5upw6 ,\u_logic/Jcpow6 }),
.f({\u_logic/_al_u4097_o ,\u_logic/Yvgiu6 }));
EG_PHY_MSLICE #(
//.LUT0("~(C*~(B)*~((~0*~(~D*~A)))+C*B*~((~0*~(~D*~A)))+~(C)*B*(~0*~(~D*~A))+C*B*(~0*~(~D*~A)))"),
//.LUT1("~(C*~(B)*~((~1*~(~D*~A)))+C*B*~((~1*~(~D*~A)))+~(C)*B*(~1*~(~D*~A))+C*B*(~1*~(~D*~A)))"),
.INIT_LUT0(16'b0011001100100111),
.INIT_LUT1(16'b0000111100001111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4099 (
.a({\u_logic/_al_u4096_o ,\u_logic/_al_u4096_o }),
.b({\u_logic/Iqsow6_lutinv ,\u_logic/Iqsow6_lutinv }),
.c({\u_logic/Pqsow6_lutinv ,\u_logic/Pqsow6_lutinv }),
.d({\u_logic/_al_u4097_o ,\u_logic/_al_u4097_o }),
.mi({open_n134875,\u_logic/_al_u4098_o }),
.fx({open_n134880,\u_logic/_al_u4099_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17817)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u409|u_logic/Ymwpw6_reg (
.a({\u_logic/_al_u405_o ,open_n134883}),
.b({\u_logic/_al_u406_o ,open_n134884}),
.c({\u_logic/_al_u407_o ,\u_logic/Ksgax6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u408_o ,\u_logic/Dugax6 }),
.mi({open_n134888,\u_logic/Hlwpw6 }),
.f({\u_logic/_al_u409_o ,\u_logic/E4yhu6 }),
.q({open_n134904,\u_logic/Ymwpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17817)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4101|u_logic/_al_u4239 (
.b({open_n134907,\u_logic/Tl4bx6 }),
.c({\u_logic/S0kbx6 ,\u_logic/Vpgbx6 }),
.d({\u_logic/Hg3bx6 ,\u_logic/_al_u4237_o }),
.f({\u_logic/_al_u4101_o ,\u_logic/Jhrow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(C)*~((~D*B))+A*~(C)*~((~D*B))+A*C*~((~D*B))+A*~(C)*(~D*B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1010111100101011),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4102|u_logic/_al_u4086 (
.a({open_n134928,\u_logic/Az3bx6 }),
.b({open_n134929,\u_logic/R1abx6 }),
.c({\u_logic/Tcipw6 ,\u_logic/Wu3bx6 }),
.d({\u_logic/Rz0bx6 ,\u_logic/Yw3bx6 }),
.f({\u_logic/_al_u4102_o ,\u_logic/_al_u4086_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0)*~((C*~(B*~A)))+D*0*~((C*~(B*~A)))+~(D)*0*(C*~(B*~A))+D*0*(C*~(B*~A)))"),
//.LUT1("(D*~(1)*~((C*~(B*~A)))+D*1*~((C*~(B*~A)))+~(D)*1*(C*~(B*~A))+D*1*(C*~(B*~A)))"),
.INIT_LUT0(16'b0100111100000000),
.INIT_LUT1(16'b1111111110110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4103 (
.a({\u_logic/_al_u4100_o ,\u_logic/_al_u4100_o }),
.b({\u_logic/_al_u4101_o ,\u_logic/_al_u4101_o }),
.c({\u_logic/_al_u4102_o ,\u_logic/_al_u4102_o }),
.d({\u_logic/Aw4bx6 ,\u_logic/Aw4bx6 }),
.mi({open_n134962,\u_logic/Hbgbx6 }),
.fx({open_n134967,\u_logic/Fzsow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4104|u_logic/_al_u79 (
.c({\u_logic/Kojpw6 ,\u_logic/Xznow6 }),
.d({\u_logic/Bc3bx6 ,\u_logic/Kkyiu6 }),
.f({\u_logic/_al_u4104_o ,\u_logic/n987 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~((D*C))*~(0)+~(A)*B*(D*C)*~(0)+A*~(B)*~((D*C))*0+~(A)*B*~((D*C))*0+A*B*~((D*C))*0+~(A)*B*(D*C)*0+A*B*(D*C)*0)"),
//.LUT1("(~(A)*B*~((D*C))*~(1)+~(A)*B*(D*C)*~(1)+A*~(B)*~((D*C))*1+~(A)*B*~((D*C))*1+A*B*~((D*C))*1+~(A)*B*(D*C)*1+A*B*(D*C)*1)"),
.INIT_LUT0(16'b0100010001000100),
.INIT_LUT1(16'b1100111011101110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4108 (
.a({\u_logic/_al_u4102_o ,\u_logic/_al_u4102_o }),
.b({\u_logic/Cy4bx6 ,\u_logic/Cy4bx6 }),
.c({\u_logic/Hg3bx6 ,\u_logic/Hg3bx6 }),
.d({\u_logic/S0kbx6 ,\u_logic/S0kbx6 }),
.mi({open_n135006,\u_logic/Yt4bx6 }),
.fx({open_n135011,\u_logic/_al_u4108_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b1000101010000000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u4109|u_logic/_al_u2188 (
.a({\u_logic/Hg3bx6 ,\u_logic/_al_u2187_o }),
.b({\u_logic/Rz0bx6 ,\u_logic/Aw4bx6 }),
.c({\u_logic/S0kbx6 ,\u_logic/vis_ipsr_o[0] }),
.d({\u_logic/Tcipw6 ,\u_logic/Hbgbx6 }),
.f({\u_logic/Tmrow6 ,\u_logic/_al_u2188_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~A*~(~D*~C*B))"),
.INIT_LUT0(16'b1110010011100000),
.INIT_LUT1(16'b0101010101010001),
.MODE("LOGIC"))
\u_logic/_al_u4111|u_logic/_al_u4123 (
.a({\u_logic/_al_u4106_o ,\u_logic/_al_u4106_o }),
.b({\u_logic/_al_u4107_o ,\u_logic/_al_u4107_o }),
.c({\u_logic/_al_u4108_o ,\u_logic/_al_u4108_o }),
.d({\u_logic/Tmrow6 ,\u_logic/Tmrow6 }),
.f({\u_logic/_al_u4111_o ,\u_logic/_al_u4123_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(C*~((~D*B))*~(0)+~(C)*(~D*B)*~(0)+C*(~D*B)*~(0)+C*(~D*B)*0))"),
//.LUT1("(A*(C*~((~D*B))*~(1)+~(C)*(~D*B)*~(1)+C*(~D*B)*~(1)+C*(~D*B)*1))"),
.INIT_LUT0(16'b1010000010101000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4112 (
.a({\u_logic/_al_u4104_o ,\u_logic/_al_u4104_o }),
.b({\u_logic/Pz9bx6 ,\u_logic/Pz9bx6 }),
.c({\u_logic/Sn4bx6 ,\u_logic/Sn4bx6 }),
.d({\u_logic/Up4bx6 ,\u_logic/Up4bx6 }),
.mi({open_n135066,\u_logic/Wr4bx6 }),
.fx({open_n135071,\u_logic/_al_u4112_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*~(0*~B*~A)))"),
//.LUTF1("(~D*~(~C*B))"),
//.LUTG0("(~C*~(~D*~(1*~B*~A)))"),
//.LUTG1("(~D*~(~C*B))"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000000011110011),
.INIT_LUTG0(16'b0000111100000001),
.INIT_LUTG1(16'b0000000011110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4114|u_logic/_al_u4121 (
.a({open_n135074,\u_logic/Losow6_lutinv }),
.b({\u_logic/_al_u4111_o ,\u_logic/_al_u4116_o }),
.c({\u_logic/Tzsow6_lutinv ,\u_logic/_al_u4117_o }),
.d({\u_logic/_al_u4110_o ,\u_logic/_al_u4120_o }),
.e({open_n135077,\u_logic/_al_u4118_o }),
.f({\u_logic/Rksow6_lutinv ,\u_logic/_al_u4121_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(A*~(D*~B)))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000011100000101),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4116|u_logic/_al_u4119 (
.a({open_n135098,\u_logic/Losow6_lutinv }),
.b({open_n135099,\u_logic/_al_u4116_o }),
.c({\u_logic/Tmrow6 ,\u_logic/_al_u4117_o }),
.d({\u_logic/_al_u4108_o ,\u_logic/_al_u4118_o }),
.f({\u_logic/_al_u4116_o ,\u_logic/_al_u4119_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4117|u_logic/_al_u4252 (
.b({open_n135122,\u_logic/_al_u4232_o }),
.c({\u_logic/_al_u4098_o ,\u_logic/Elnpw6 }),
.d({\u_logic/_al_u4097_o ,\u_logic/_al_u4181_o }),
.f({\u_logic/_al_u4117_o ,\u_logic/_al_u4252_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(~C*B)*~(~D*A))"),
//.LUTG0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(~C*B)*~(~D*A))"),
.INIT_LUTF0(16'b1111001101000000),
.INIT_LUTF1(16'b1111001101010001),
.INIT_LUTG0(16'b1111001101000000),
.INIT_LUTG1(16'b1111001101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4118|u_logic/_al_u4107 (
.a({\u_logic/_al_u4104_o ,\u_logic/_al_u4104_o }),
.b({\u_logic/_al_u4105_o ,\u_logic/_al_u4105_o }),
.c({\u_logic/Sn4bx6 ,\u_logic/Sn4bx6 }),
.d({\u_logic/Wr4bx6 ,\u_logic/Wr4bx6 }),
.f({\u_logic/_al_u4118_o ,\u_logic/_al_u4107_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18405)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u411|u_logic/Ydgax6_reg (
.b({\u_logic/_al_u410_o ,open_n135169}),
.c({\u_logic/M94iu6 ,\u_logic/Yzqpw6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/_al_u409_o ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/Tu4iu6 ,\u_logic/Lm1iu6 }),
.q({open_n135190,\u_logic/Ydgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18405)
EG_PHY_LSLICE #(
//.LUTF0("(C*A*~(D*~B))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(C*A*~(D*~B))"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b1000000010100000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1000000010100000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4120|u_logic/_al_u4227 (
.a({open_n135191,\u_logic/_al_u4181_o }),
.b({\u_logic/_al_u4104_o ,\u_logic/_al_u4226_o }),
.c({\u_logic/_al_u4105_o ,\u_logic/_al_u4221_o }),
.d({\u_logic/Tmrow6 ,\u_logic/Elnpw6 }),
.f({\u_logic/_al_u4120_o ,\u_logic/_al_u4227_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUTG0("(A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
.INIT_LUTF0(16'b1010110010101000),
.INIT_LUTF1(16'b0101110101001100),
.INIT_LUTG0(16'b1010110010101000),
.INIT_LUTG1(16'b0101110101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4122|u_logic/_al_u4124 (
.a({\u_logic/_al_u4099_o ,\u_logic/_al_u4123_o }),
.b({\u_logic/Rksow6_lutinv ,\u_logic/Losow6_lutinv }),
.c({\u_logic/_al_u4119_o ,\u_logic/_al_u4117_o }),
.d({\u_logic/_al_u4121_o ,\u_logic/_al_u4120_o }),
.f({\u_logic/Mtrow6_lutinv ,\u_logic/_al_u4124_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~(~D*~A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0011000000100000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4126|u_logic/_al_u4222 (
.a({open_n135240,\u_logic/Brrow6_lutinv }),
.b({open_n135241,\u_logic/_al_u4221_o }),
.c({\u_logic/_al_u4075_o ,\u_logic/_al_u4179_o }),
.d({\u_logic/_al_u4074_o ,\u_logic/_al_u4180_o }),
.f({\u_logic/_al_u4126_o ,\u_logic/_al_u4222_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1100110010101000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4129|u_logic/_al_u4125 (
.a({open_n135262,\u_logic/Gxrow6_lutinv }),
.b({open_n135263,\u_logic/_al_u4073_o }),
.c({\u_logic/_al_u4074_o ,\u_logic/_al_u4074_o }),
.d({\u_logic/_al_u4073_o ,\u_logic/_al_u4075_o }),
.f({\u_logic/_al_u4129_o ,\u_logic/_al_u4125_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18704)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011100100010),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u412|u_logic/Rkkax6_reg (
.a({open_n135284,\u_logic/D8iiu6 }),
.b({open_n135285,\u_logic/_al_u1995_o }),
.c({\u_logic/Vzupw6 ,\u_logic/_al_u1997_o }),
.clk(clk_pad),
.d({\u_logic/Aujpw6 ,\u_logic/Rkkax6 }),
.f({\u_logic/Pthiu6 ,open_n135300}),
.q({open_n135304,\u_logic/Rkkax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18704)
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*~(A*~(0*~B))))"),
//.LUT1("(~C*~(~D*~(A*~(1*~B))))"),
.INIT_LUT0(16'b0000111100001010),
.INIT_LUT1(16'b0000111100001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4131 (
.a({\u_logic/_al_u4124_o ,\u_logic/_al_u4124_o }),
.b({\u_logic/_al_u4129_o ,\u_logic/_al_u4129_o }),
.c({\u_logic/_al_u4126_o ,\u_logic/_al_u4126_o }),
.d({\u_logic/_al_u4127_o ,\u_logic/_al_u4127_o }),
.mi({open_n135317,\u_logic/_al_u4130_o }),
.fx({open_n135322,\u_logic/_al_u4131_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(~(~D*~B)*~(C*~A))"),
//.LUTG0("(A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(~(~D*~B)*~(C*~A))"),
.INIT_LUTF0(16'b1010110010101000),
.INIT_LUTF1(16'b1010111110001100),
.INIT_LUTG0(16'b1010110010101000),
.INIT_LUTG1(16'b1010111110001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4132|u_logic/_al_u4182 (
.a({\u_logic/Xvrow6_lutinv ,\u_logic/_al_u4124_o }),
.b({\u_logic/Mtrow6_lutinv ,\u_logic/_al_u4125_o }),
.c({\u_logic/_al_u4128_o ,\u_logic/_al_u4126_o }),
.d({\u_logic/_al_u4131_o ,\u_logic/_al_u4127_o }),
.f({\u_logic/Hnrow6_lutinv ,\u_logic/Klrow6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17710)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4133|u_logic/Amupw6_reg (
.b({open_n135351,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Rk1bx6 ,\u_logic/Yjupw6 }),
.clk(clk_pad),
.d({\u_logic/Fb0bx6 ,\u_logic/_al_u1533_o }),
.f({\u_logic/_al_u4133_o ,open_n135370}),
.q({open_n135374,\u_logic/Amupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17710)
// ../rtl/topmodule/cortexm0ds_logic.v(18109)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4134|u_logic/Nr7ax6_reg (
.b({open_n135377,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Z71bx6 ,\u_logic/Hhvpw6 }),
.clk(clk_pad),
.d({\u_logic/E90bx6 ,\u_logic/_al_u1529_o }),
.f({\u_logic/_al_u4134_o ,open_n135392}),
.q({open_n135396,\u_logic/Nr7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18109)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1111001101000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111001101000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4135|u_logic/_al_u4136 (
.a({open_n135397,\u_logic/_al_u4133_o }),
.b({open_n135398,\u_logic/_al_u4134_o }),
.c({\u_logic/_al_u4134_o ,\u_logic/E05bx6 }),
.d({\u_logic/_al_u4133_o ,\u_logic/I45bx6 }),
.f({\u_logic/_al_u4135_o ,\u_logic/_al_u4136_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4137|u_logic/_al_u4070 (
.c({\u_logic/Yxrpw6 ,\u_logic/Nr0bx6 }),
.d({\u_logic/Hf0bx6 ,\u_logic/Li2bx6 }),
.f({\u_logic/_al_u4137_o ,\u_logic/_al_u4070_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17711)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4138|u_logic/Coupw6_reg (
.b({open_n135453,\u_logic/J8eiu6 }),
.c({\u_logic/Xo1bx6 ,\u_logic/L6gpw6 [23]}),
.clk(clk_pad),
.d({\u_logic/Gd0bx6 ,\u_logic/_al_u1520_o }),
.f({\u_logic/_al_u4138_o ,open_n135468}),
.q({open_n135472,\u_logic/Coupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17711)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*C*~A))"),
//.LUTF1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*~(D*C*~A))"),
//.LUTG1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000110011001100),
.INIT_LUTF1(16'b1111001101000000),
.INIT_LUTG0(16'b1000110011001100),
.INIT_LUTG1(16'b1111001101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4139|u_logic/_al_u4210 (
.a({\u_logic/_al_u4137_o ,\u_logic/_al_u4209_o }),
.b({\u_logic/_al_u4138_o ,\u_logic/_al_u4174_o }),
.c({\u_logic/K65bx6 ,\u_logic/C10bx6 }),
.d({\u_logic/Oa5bx6 ,\u_logic/Qo3bx6 }),
.f({\u_logic/_al_u4139_o ,\u_logic/Hkgow6 }));
EG_PHY_MSLICE #(
//.LUT0("~(~C*~((~B*A))*~(D)+~C*(~B*A)*~(D)+~(~C)*(~B*A)*D+~C*(~B*A)*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1101110111110000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u413|u_logic/_al_u1687 (
.a({open_n135497,\u_logic/Aujpw6 }),
.b({open_n135498,\u_logic/Ufopw6 }),
.c({\u_logic/Yvjpw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u413_o ,\u_logic/_al_u1687_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*C*B*A)"),
//.LUT1("(~1*~D*C*B*A)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u414 (
.a({\u_logic/_al_u147_o ,\u_logic/_al_u147_o }),
.b({\u_logic/Pthiu6 ,\u_logic/Pthiu6 }),
.c({\u_logic/_al_u413_o ,\u_logic/_al_u413_o }),
.d({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.mi({open_n135531,\u_logic/Ufopw6 }),
.fx({open_n135536,\u_logic/_al_u414_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u4140|u_logic/_al_u4176 (
.a({open_n135539,\u_logic/C10bx6 }),
.b({\u_logic/Hf0bx6 ,\u_logic/Lr9bx6 }),
.c({\u_logic/Yxrpw6 ,\u_logic/Nt9bx6 }),
.d({\u_logic/_al_u4138_o ,\u_logic/Qo3bx6 }),
.f({\u_logic/_al_u4140_o ,\u_logic/_al_u4176_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4142|u_logic/_al_u4148 (
.b({open_n135562,\u_logic/F5uow6 }),
.c({\u_logic/_al_u4140_o ,\u_logic/_al_u4147_o }),
.d({\u_logic/_al_u4135_o ,\u_logic/_al_u4145_o }),
.f({\u_logic/_al_u4142_o ,\u_logic/_al_u4148_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18961)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4144|u_logic/Pdxax6_reg (
.c({\u_logic/Us3bx6 ,\u_logic/Tkjbx6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/C30bx6 ,\u_logic/Rijbx6 }),
.mi({open_n135590,HWDATA[14]}),
.f({\u_logic/_al_u4144_o ,\u_logic/_al_u4143_o }),
.q({open_n135606,\u_logic/Pdxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18961)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1110010011100000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1110010011100000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4145|u_logic/_al_u4141 (
.a({open_n135607,\u_logic/_al_u4135_o }),
.b({open_n135608,\u_logic/_al_u4136_o }),
.c({\u_logic/_al_u4144_o ,\u_logic/_al_u4139_o }),
.d({\u_logic/_al_u4143_o ,\u_logic/_al_u4140_o }),
.f({\u_logic/_al_u4145_o ,\u_logic/_al_u4141_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4147|u_logic/_al_u1531 (
.a({open_n135633,\u_logic/Q8eiu6_lutinv }),
.b({open_n135634,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/Fc1bx6 ,\u_logic/Lywpw6 }),
.d({\u_logic/C50bx6 ,\u_logic/N0xpw6 }),
.f({\u_logic/_al_u4147_o ,\u_logic/_al_u1531_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(~D*~(~C*B))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(~D*~(~C*B))"),
.INIT_LUTF0(16'b0000110001111111),
.INIT_LUTF1(16'b0000000011110011),
.INIT_LUTG0(16'b0000111100001111),
.INIT_LUTG1(16'b0000000011110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4149|u_logic/_al_u4197 (
.a({open_n135655,\u_logic/_al_u4185_o }),
.b({\u_logic/_al_u4142_o ,\u_logic/_al_u4186_o }),
.c({\u_logic/_al_u4148_o ,\u_logic/P3uow6_lutinv }),
.d({\u_logic/_al_u4141_o ,\u_logic/Yctow6_lutinv }),
.e({open_n135658,\u_logic/_al_u4148_o }),
.f({\u_logic/_al_u4149_o ,\u_logic/L9tow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(C*~(B*~(~D*~A))))"),
//.LUTF1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~1*~(C*~(B*~(~D*~A))))"),
//.LUTG1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100111110001111),
.INIT_LUTF1(16'b1111001101000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111001101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4151|u_logic/_al_u4158 (
.a({\u_logic/_al_u4143_o ,\u_logic/_al_u4157_o }),
.b({\u_logic/_al_u4144_o ,\u_logic/_al_u4143_o }),
.c({\u_logic/I74bx6 ,\u_logic/_al_u4144_o }),
.d({\u_logic/Mb4bx6 ,\u_logic/_al_u4155_o }),
.e({open_n135681,\u_logic/K94bx6 }),
.f({\u_logic/Vkuow6_lutinv ,\u_logic/_al_u4158_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~0*~D*~C*A))"),
//.LUTF1("(~(~D*~C)*~(~B*A))"),
//.LUTG0("(~B*~(~1*~D*~C*A))"),
//.LUTG1("(~(~D*~C)*~(~B*A))"),
.INIT_LUTF0(16'b0011001100110001),
.INIT_LUTF1(16'b1101110111010000),
.INIT_LUTG0(16'b0011001100110011),
.INIT_LUTG1(16'b1101110111010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4152|u_logic/_al_u4161 (
.a({\u_logic/_al_u4150_o ,\u_logic/_al_u4152_o }),
.b({\u_logic/Vkuow6_lutinv ,\u_logic/_al_u4154_o }),
.c({\u_logic/F5uow6 ,\u_logic/_al_u4156_o }),
.d({\u_logic/_al_u4147_o ,\u_logic/_al_u4158_o }),
.e({open_n135704,\u_logic/L8uow6_lutinv }),
.f({\u_logic/_al_u4152_o ,\u_logic/_al_u4161_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
.INIT_LUT0(16'b0001001100000011),
.INIT_LUT1(16'b0101011100000111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4154 (
.a({\u_logic/_al_u4153_o ,\u_logic/_al_u4153_o }),
.b({\u_logic/_al_u4143_o ,\u_logic/_al_u4143_o }),
.c({\u_logic/_al_u4144_o ,\u_logic/_al_u4144_o }),
.d({\u_logic/I74bx6 ,\u_logic/I74bx6 }),
.mi({open_n135737,\u_logic/Mb4bx6 }),
.fx({open_n135742,\u_logic/_al_u4154_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~((~(~0*~D)*~C))+A*B*~((~(~0*~D)*~C))+~(A)*B*(~(~0*~D)*~C)+A*B*(~(~0*~D)*~C))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(A*~(B)*~((~(~1*~D)*~C))+A*B*~((~(~1*~D)*~C))+~(A)*B*(~(~1*~D)*~C)+A*B*(~(~1*~D)*~C))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1010110010101010),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1010110010101100),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4155|u_logic/_al_u4215 (
.a({open_n135745,\u_logic/Yjtow6_lutinv }),
.b({open_n135746,\u_logic/Rjtow6_lutinv }),
.c({\u_logic/Mb4bx6 ,\u_logic/_al_u4173_o }),
.d({\u_logic/I74bx6 ,\u_logic/_al_u4214_o }),
.e({open_n135749,\u_logic/_al_u4176_o }),
.f({\u_logic/_al_u4155_o ,\u_logic/Q8tow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~D*B*~(C*A))"),
.INIT_LUT0(16'b1111001101000000),
.INIT_LUT1(16'b0000000001001100),
.MODE("LOGIC"))
\u_logic/_al_u4156|u_logic/_al_u4150 (
.a({\u_logic/_al_u4143_o ,\u_logic/F5uow6 }),
.b({\u_logic/_al_u4144_o ,\u_logic/_al_u4147_o }),
.c({\u_logic/_al_u4155_o ,\u_logic/Od4bx6 }),
.d({\u_logic/Z9abx6 ,\u_logic/Sh4bx6 }),
.f({\u_logic/_al_u4156_o ,\u_logic/_al_u4150_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~B*~(C*~A))"),
//.LUTF1("(~(A)*~((C*~B))*~(D)+~(A)*~((C*~B))*D+A*~((C*~B))*D+~(A)*(C*~B)*D)"),
//.LUTG0("(D*~B*~(C*~A))"),
//.LUTG1("(~(A)*~((C*~B))*~(D)+~(A)*~((C*~B))*D+A*~((C*~B))*D+~(A)*(C*~B)*D)"),
.INIT_LUTF0(16'b0010001100000000),
.INIT_LUTF1(16'b1101111101000101),
.INIT_LUTG0(16'b0010001100000000),
.INIT_LUTG1(16'b1101111101000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4159|u_logic/_al_u4157 (
.a({\u_logic/Od4bx6 ,\u_logic/I74bx6 }),
.b({\u_logic/Qf4bx6 ,\u_logic/K94bx6 }),
.c({\u_logic/Rlgbx6 ,\u_logic/Mb4bx6 }),
.d({\u_logic/Sh4bx6 ,\u_logic/Z9abx6 }),
.f({\u_logic/_al_u4159_o ,\u_logic/_al_u4157_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0)*~((C*~(B*~A)))+D*0*~((C*~(B*~A)))+~(D)*0*(C*~(B*~A))+D*0*(C*~(B*~A)))"),
//.LUT1("(D*~(1)*~((C*~(B*~A)))+D*1*~((C*~(B*~A)))+~(D)*1*(C*~(B*~A))+D*1*(C*~(B*~A)))"),
.INIT_LUT0(16'b0100111100000000),
.INIT_LUT1(16'b1111111110110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4160 (
.a({\u_logic/_al_u4159_o ,\u_logic/_al_u4159_o }),
.b({\u_logic/F5uow6 ,\u_logic/F5uow6 }),
.c({\u_logic/_al_u4147_o ,\u_logic/_al_u4147_o }),
.d({\u_logic/Qf4bx6 ,\u_logic/Qf4bx6 }),
.mi({open_n135826,\u_logic/Rlgbx6 }),
.fx({open_n135831,\u_logic/L8uow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~C*~(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)))"),
//.LUT1("(~A*~(~C*~(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)))"),
.INIT_LUT0(16'b0101000101010000),
.INIT_LUT1(16'b0101010101010100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4162 (
.a({\u_logic/_al_u4149_o ,\u_logic/_al_u4149_o }),
.b({\u_logic/_al_u4161_o ,\u_logic/_al_u4161_o }),
.c({\u_logic/_al_u4148_o ,\u_logic/_al_u4148_o }),
.d({\u_logic/_al_u4150_o ,\u_logic/_al_u4150_o }),
.mi({open_n135846,\u_logic/Vkuow6_lutinv }),
.fx({open_n135851,\u_logic/Brrow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4164|u_logic/_al_u4058 (
.a({open_n135854,\u_logic/Kl0bx6 }),
.b({open_n135855,\u_logic/Ln0bx6 }),
.c({\u_logic/N5bbx6 ,\u_logic/P12bx6 }),
.d({\u_logic/L1bbx6 ,\u_logic/V52bx6 }),
.f({\u_logic/_al_u4164_o ,\u_logic/_al_u4058_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(C*~((~D*B))*~(0)+~(C)*(~D*B)*~(0)+C*(~D*B)*~(0)+C*(~D*B)*0))"),
//.LUTF1("(~(~D*B)*~(~C*A))"),
//.LUTG0("(A*(C*~((~D*B))*~(1)+~(C)*(~D*B)*~(1)+C*(~D*B)*~(1)+C*(~D*B)*1))"),
//.LUTG1("(~(~D*B)*~(~C*A))"),
.INIT_LUTF0(16'b1010000010101000),
.INIT_LUTF1(16'b1111010100110001),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b1111010100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4165|u_logic/_al_u4080 (
.a({\u_logic/Nhtow6 ,\u_logic/_al_u4065_o }),
.b({\u_logic/_al_u4164_o ,\u_logic/Lfgbx6 }),
.c({\u_logic/Tgzax6 ,\u_logic/Slyax6 }),
.d({\u_logic/Vkzax6 ,\u_logic/Unyax6 }),
.e({open_n135882,\u_logic/Wpyax6 }),
.f({\u_logic/_al_u4165_o ,\u_logic/_al_u4080_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4166|u_logic/_al_u3087 (
.a({open_n135903,\u_logic/Aa2bx6 }),
.b({open_n135904,\u_logic/Bc3bx6 }),
.c({\u_logic/Cxzax6 ,\u_logic/Dt1bx6 }),
.d({\u_logic/Aa2bx6 ,\u_logic/Dv2bx6 }),
.f({\u_logic/_al_u4166_o ,\u_logic/_al_u3087_o }));
EG_PHY_MSLICE #(
//.LUT0("~((D*~C)*~(B)*~(A)+(D*~C)*B*~(A)+~((D*~C))*B*A+(D*~C)*B*A)"),
//.LUT1("(D*~(~C*~B))"),
.INIT_LUT0(16'b0111001001110111),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"))
\u_logic/_al_u4169|u_logic/_al_u4379 (
.a({open_n135925,\u_logic/M6fow6 }),
.b({\u_logic/_al_u4167_o ,\u_logic/_al_u4378_o }),
.c({\u_logic/Xttow6_lutinv ,\u_logic/_al_u4080_o }),
.d({\u_logic/_al_u4165_o ,\u_logic/_al_u4066_o }),
.f({\u_logic/_al_u4169_o ,\u_logic/Pagow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~D*C*~B*A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u416|u_logic/_al_u426 (
.a({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.b({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Vhspw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vmipw6 }),
.f({\u_logic/Cpqow6 ,\u_logic/Fnqow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19200)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4170|u_logic/Gd0bx6_reg (
.a({open_n135970,HWDATA[14]}),
.b({open_n135971,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Mk3bx6 ,\u_logic/Gd0bx6 }),
.clk(clk_pad),
.d({\u_logic/Czzax6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4170_o ,open_n135989}),
.q({open_n135993,\u_logic/Gd0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19200)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4171|u_logic/_al_u3090 (
.a({open_n135994,\u_logic/_al_u3089_o }),
.b({open_n135995,\u_logic/P12bx6 }),
.c({\u_logic/Ikhbx6 ,\u_logic/P33bx6 }),
.d({\u_logic/Gihbx6 ,\u_logic/Qo3bx6 }),
.f({\u_logic/_al_u4171_o ,\u_logic/_al_u3090_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1111001101000000),
.MODE("LOGIC"))
\u_logic/_al_u4172|u_logic/_al_u3205 (
.a({\u_logic/_al_u4170_o ,\u_logic/G2fiu6 }),
.b({\u_logic/_al_u4171_o ,\u_logic/U2fiu6 }),
.c({\u_logic/S3mpw6 ,\u_logic/E05bx6 }),
.d({\u_logic/Yryax6 ,\u_logic/I74bx6 }),
.f({\u_logic/Oltow6_lutinv ,\u_logic/_al_u3205_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u4173|u_logic/_al_u4315 (
.a({open_n136036,\u_logic/Yvgiu6 }),
.b({\u_logic/Czzax6 ,\u_logic/E1fiu6 }),
.c({\u_logic/Mk3bx6 ,\u_logic/Ohyax6 }),
.d({\u_logic/_al_u4171_o ,\u_logic/Rfxax6 }),
.f({\u_logic/_al_u4173_o ,\u_logic/_al_u4315_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4174 (
.c({open_n136061,\u_logic/Nt9bx6 }),
.d({open_n136064,\u_logic/Lr9bx6 }),
.f({open_n136078,\u_logic/_al_u4174_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~D))"),
//.LUTF1("(A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(C*~(B*~D))"),
//.LUTG1("(A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000000110000),
.INIT_LUTF1(16'b1110001011100000),
.INIT_LUTG0(16'b1111000000110000),
.INIT_LUTG1(16'b1110001011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4177|u_logic/_al_u4369 (
.a({\u_logic/Oltow6_lutinv ,open_n136084}),
.b({\u_logic/_al_u4173_o ,\u_logic/F5uow6 }),
.c({\u_logic/Hltow6_lutinv ,\u_logic/_al_u4147_o }),
.d({\u_logic/_al_u4176_o ,\u_logic/_al_u4159_o }),
.f({\u_logic/_al_u4177_o ,\u_logic/_al_u4369_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111101000000010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111101000000010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4178|u_logic/_al_u4196 (
.a({open_n136109,\u_logic/S8uow6_lutinv }),
.b({open_n136110,\u_logic/_al_u4152_o }),
.c({\u_logic/_al_u4176_o ,\u_logic/_al_u4154_o }),
.d({\u_logic/_al_u4173_o ,\u_logic/L8uow6_lutinv }),
.f({\u_logic/_al_u4178_o ,\u_logic/Yctow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*((~B*A)*~(C)*~(D)+~((~B*A))*C*~(D)+(~B*A)*C*~(D)+(~B*A)*C*D))"),
//.LUTF1("(D*~(~C*~B))"),
//.LUTG0("(~1*((~B*A)*~(C)*~(D)+~((~B*A))*C*~(D)+(~B*A)*C*~(D)+(~B*A)*C*D))"),
//.LUTG1("(D*~(~C*~B))"),
.INIT_LUTF0(16'b0010000011110010),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4179|u_logic/_al_u4216 (
.a({open_n136135,\u_logic/_al_u4208_o }),
.b({\u_logic/_al_u4177_o ,\u_logic/Q8tow6_lutinv }),
.c({\u_logic/_al_u4178_o ,\u_logic/_al_u4169_o }),
.d({\u_logic/_al_u4169_o ,\u_logic/_al_u4177_o }),
.e({open_n136138,\u_logic/_al_u4178_o }),
.f({\u_logic/_al_u4179_o ,\u_logic/_al_u4216_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*A)"),
//.LUTF1("(~D*~C*B*~A)"),
//.LUTG0("(~D*~C*~B*A)"),
//.LUTG1("(~D*~C*B*~A)"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b0000000000000100),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u417|u_logic/_al_u425 (
.a({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.b({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Vhspw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vmipw6 }),
.f({\u_logic/Dmqow6 ,\u_logic/Mnqow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~B)*~(~D*~A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(~C*~B)*~(~D*~A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111110010101000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111110010101000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4183|u_logic/_al_u4232 (
.a({open_n136183,\u_logic/_al_u4124_o }),
.b({open_n136184,\u_logic/_al_u4125_o }),
.c({\u_logic/_al_u4127_o ,\u_logic/_al_u4126_o }),
.d({\u_logic/_al_u4126_o ,\u_logic/_al_u4127_o }),
.f({\u_logic/_al_u4183_o ,\u_logic/_al_u4232_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*((~B*A)*~(C)*~(D)+~((~B*A))*C*~(D)+(~B*A)*C*~(D)+(~B*A)*C*D))"),
//.LUTF1("(~D*~A*~(C*~B))"),
//.LUTG0("(~1*((~B*A)*~(C)*~(D)+~((~B*A))*C*~(D)+(~B*A)*C*~(D)+(~B*A)*C*D))"),
//.LUTG1("(~D*~A*~(C*~B))"),
.INIT_LUTF0(16'b0010000011110010),
.INIT_LUTF1(16'b0000000001000101),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000001000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4184|u_logic/_al_u4255 (
.a({\u_logic/Hnrow6_lutinv ,\u_logic/Anrow6_lutinv }),
.b({\u_logic/_al_u4181_o ,\u_logic/Hnrow6_lutinv }),
.c({\u_logic/Klrow6_lutinv ,\u_logic/_al_u4181_o }),
.d({\u_logic/_al_u4183_o ,\u_logic/Klrow6_lutinv }),
.e({open_n136211,\u_logic/_al_u4183_o }),
.f({\u_logic/_al_u4184_o ,\u_logic/_al_u4255_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*~(D*~(0)*~(A)+D*0*~(A)+~(D)*0*A+D*0*A)))"),
//.LUT1("(~C*~(B*~(D*~(1)*~(A)+D*1*~(A)+~(D)*1*A+D*1*A)))"),
.INIT_LUT0(16'b0000011100000011),
.INIT_LUT1(16'b0000111100001011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4186 (
.a({\u_logic/_al_u4161_o ,\u_logic/_al_u4161_o }),
.b({\u_logic/_al_u4141_o ,\u_logic/_al_u4141_o }),
.c({\u_logic/_al_u4142_o ,\u_logic/_al_u4142_o }),
.d({\u_logic/_al_u4150_o ,\u_logic/_al_u4150_o }),
.mi({open_n136244,\u_logic/Vkuow6_lutinv }),
.fx({open_n136249,\u_logic/_al_u4186_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*B*~(D)*~((0*C))+~(A)*~(B)*D*~((0*C))+~(A)*B*D*~((0*C))+A*B*D*~((0*C))+~(A)*~(B)*D*(0*C)+~(A)*B*D*(0*C)+A*B*D*(0*C))"),
//.LUTF1("(A*(B*~(D)*~((0*~C))+~(B)*~(D)*(0*~C)+B*~(D)*(0*~C)+B*D*(0*~C)))"),
//.LUTG0("(A*B*~(D)*~((1*C))+~(A)*~(B)*D*~((1*C))+~(A)*B*D*~((1*C))+A*B*D*~((1*C))+~(A)*~(B)*D*(1*C)+~(A)*B*D*(1*C)+A*B*D*(1*C))"),
//.LUTG1("(A*(B*~(D)*~((1*~C))+~(B)*~(D)*(1*~C)+B*~(D)*(1*~C)+B*D*(1*~C)))"),
.INIT_LUTF0(16'b1101110110001000),
.INIT_LUTF1(16'b0000000010001000),
.INIT_LUTG0(16'b1101110100001000),
.INIT_LUTG1(16'b0000100010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4187|u_logic/_al_u4175 (
.a({\u_logic/_al_u4137_o ,\u_logic/_al_u4174_o }),
.b({\u_logic/K65bx6 ,\u_logic/Auyax6 }),
.c({\u_logic/M85bx6 ,\u_logic/C10bx6 }),
.d({\u_logic/Oa5bx6 ,\u_logic/Eyyax6 }),
.e({\u_logic/Pjgbx6 ,\u_logic/Qo3bx6 }),
.f({\u_logic/_al_u4187_o ,\u_logic/Hltow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1101010011110101),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1101010011110101),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4188|u_logic/_al_u4192 (
.a({open_n136274,\u_logic/E05bx6 }),
.b({open_n136275,\u_logic/G25bx6 }),
.c({\u_logic/_al_u4138_o ,\u_logic/I45bx6 }),
.d({\u_logic/_al_u4187_o ,\u_logic/X7abx6 }),
.f({\u_logic/Whgow6 ,\u_logic/_al_u4192_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~D*~(C*~B)))"),
//.LUT1("(~D*~(~C*B))"),
.INIT_LUT0(16'b0101010100010000),
.INIT_LUT1(16'b0000000011110011),
.MODE("LOGIC"))
\u_logic/_al_u4191|u_logic/_al_u4190 (
.a({open_n136300,\u_logic/_al_u4135_o }),
.b({\u_logic/_al_u4136_o ,\u_logic/_al_u4136_o }),
.c({\u_logic/_al_u4139_o ,\u_logic/_al_u4139_o }),
.d({\u_logic/_al_u4135_o ,\u_logic/_al_u4140_o }),
.f({\u_logic/_al_u4191_o ,\u_logic/_al_u4190_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0)*~((C*~(B*~A)))+D*0*~((C*~(B*~A)))+~(D)*0*(C*~(B*~A))+D*0*(C*~(B*~A)))"),
//.LUT1("(D*~(1)*~((C*~(B*~A)))+D*1*~((C*~(B*~A)))+~(D)*1*(C*~(B*~A))+D*1*(C*~(B*~A)))"),
.INIT_LUT0(16'b0100111100000000),
.INIT_LUT1(16'b1111111110110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4193 (
.a({\u_logic/_al_u4192_o ,\u_logic/_al_u4192_o }),
.b({\u_logic/_al_u4133_o ,\u_logic/_al_u4133_o }),
.c({\u_logic/_al_u4134_o ,\u_logic/_al_u4134_o }),
.d({\u_logic/G25bx6 ,\u_logic/G25bx6 }),
.mi({open_n136333,\u_logic/X7abx6 }),
.fx({open_n136338,\u_logic/Q7uow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*B)*~(~D*A))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(~C*B)*~(~D*A))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111001101010001),
.INIT_LUTF1(16'b1110111000000010),
.INIT_LUTG0(16'b1111001101010001),
.INIT_LUTG1(16'b1110111000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4194|u_logic/_al_u4153 (
.a({\u_logic/E8uow6_lutinv ,\u_logic/F5uow6 }),
.b({\u_logic/_al_u4190_o ,\u_logic/_al_u4147_o }),
.c({\u_logic/_al_u4191_o ,\u_logic/Od4bx6 }),
.d({\u_logic/Q7uow6_lutinv ,\u_logic/Sh4bx6 }),
.f({\u_logic/P3uow6_lutinv ,\u_logic/_al_u4153_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0011001000010000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4195|u_logic/_al_u4185 (
.a({open_n136365,\u_logic/_al_u4161_o }),
.b({open_n136366,\u_logic/_al_u4141_o }),
.c({\u_logic/_al_u4158_o ,\u_logic/_al_u4150_o }),
.d({\u_logic/_al_u4156_o ,\u_logic/Vkuow6_lutinv }),
.f({\u_logic/S8uow6_lutinv ,\u_logic/_al_u4185_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~C*B*~A))"),
//.LUTF1("(~C*~(~B*D))"),
//.LUTG0("(~D*~(~C*B*~A))"),
//.LUTG1("(~C*~(~B*D))"),
.INIT_LUTF0(16'b0000000011111011),
.INIT_LUTF1(16'b0000110000001111),
.INIT_LUTG0(16'b0000000011111011),
.INIT_LUTG1(16'b0000110000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4198|u_logic/_al_u4220 (
.a({open_n136387,\u_logic/Brrow6_lutinv }),
.b({\u_logic/_al_u4179_o ,\u_logic/_al_u4179_o }),
.c({\u_logic/_al_u4180_o ,\u_logic/_al_u4180_o }),
.d({\u_logic/Brrow6_lutinv ,\u_logic/_al_u4219_o }),
.f({\u_logic/_al_u4198_o ,\u_logic/_al_u4220_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B*~A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1011111100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4199|u_logic/_al_u4286 (
.a({open_n136412,\u_logic/Kctow6_lutinv }),
.b({open_n136413,\u_logic/L9tow6 }),
.c({\u_logic/_al_u4198_o ,\u_logic/_al_u4198_o }),
.d({\u_logic/L9tow6 ,\u_logic/_al_u4220_o }),
.f({\u_logic/_al_u4199_o ,\u_logic/_al_u4286_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*~A)"),
//.LUT1("(~D*C*B*~A)"),
.INIT_LUT0(16'b0000000000010000),
.INIT_LUT1(16'b0000000001000000),
.MODE("LOGIC"))
\u_logic/_al_u419|u_logic/_al_u423 (
.a({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.b({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Vhspw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vmipw6 }),
.f({\u_logic/Xpqow6 ,\u_logic/Voqow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~D*~(~C*B))"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~D*~(~C*B))"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0000000011110011),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0000000011110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4200|u_logic/_al_u4217 (
.b({\u_logic/Xttow6_lutinv ,\u_logic/Nhtow6 }),
.c({\u_logic/_al_u4165_o ,\u_logic/_al_u4164_o }),
.d({\u_logic/_al_u4167_o ,\u_logic/_al_u4167_o }),
.f({\u_logic/_al_u4200_o ,\u_logic/_al_u4217_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(A*~(~C*~(~0*D))))"),
//.LUTF1("(D*~(~C*B))"),
//.LUTG0("(B*~(A*~(~C*~(~1*D))))"),
//.LUTG1("(D*~(~C*B))"),
.INIT_LUTF0(16'b0100010001001100),
.INIT_LUTF1(16'b1111001100000000),
.INIT_LUTG0(16'b0100110001001100),
.INIT_LUTG1(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4204|u_logic/_al_u4365 (
.a({open_n136480,\u_logic/_al_u4204_o }),
.b({\u_logic/Tgzax6 ,\u_logic/_al_u4164_o }),
.c({\u_logic/Vkzax6 ,\u_logic/_al_u4205_o }),
.d({\u_logic/Nhtow6 ,\u_logic/C5gbx6 }),
.e({open_n136483,\u_logic/Uizax6 }),
.f({\u_logic/_al_u4204_o ,\u_logic/_al_u4365_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C)*~((B*~A))+D*C*~((B*~A))+~(D)*C*(B*~A)+D*C*(B*~A))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b1111101101000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u4205|u_logic/_al_u4081 (
.a({open_n136504,\u_logic/_al_u4080_o }),
.b({open_n136505,\u_logic/_al_u4066_o }),
.c({\u_logic/Vkzax6 ,\u_logic/Lfgbx6 }),
.d({\u_logic/Tgzax6 ,\u_logic/Unyax6 }),
.f({\u_logic/_al_u4205_o ,\u_logic/S3sow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0100010000000000),
.INIT_LUT1(16'b1111111110110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4206 (
.a({\u_logic/_al_u4204_o ,\u_logic/_al_u4204_o }),
.b({\u_logic/_al_u4164_o ,\u_logic/_al_u4164_o }),
.c({\u_logic/_al_u4205_o ,\u_logic/_al_u4205_o }),
.d({\u_logic/C5gbx6 ,\u_logic/C5gbx6 }),
.mi({open_n136538,\u_logic/Uizax6 }),
.fx({open_n136543,\u_logic/Tktow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
.INIT_LUT0(16'b0000011100000011),
.INIT_LUT1(16'b0101011100010011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4207 (
.a({\u_logic/Xttow6_lutinv ,\u_logic/Xttow6_lutinv }),
.b({\u_logic/Nhtow6 ,\u_logic/Nhtow6 }),
.c({\u_logic/_al_u4164_o ,\u_logic/_al_u4164_o }),
.d({\u_logic/Tgzax6 ,\u_logic/Tgzax6 }),
.mi({open_n136558,\u_logic/Vkzax6 }),
.fx({open_n136563,\u_logic/_al_u4207_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
//.LUTF1("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
//.LUTG0("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
//.LUTG1("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
.INIT_LUTF0(16'b1101010011110101),
.INIT_LUTF1(16'b1101010011110101),
.INIT_LUTG0(16'b1101010011110101),
.INIT_LUTG1(16'b1101010011110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4209|u_logic/_al_u4082 (
.a({\u_logic/Auyax6 ,\u_logic/Mfyax6 }),
.b({\u_logic/Cwyax6 ,\u_logic/Ohyax6 }),
.c({\u_logic/Eyyax6 ,\u_logic/Qjyax6 }),
.d({\u_logic/Tngbx6 ,\u_logic/T3abx6 }),
.f({\u_logic/_al_u4209_o ,\u_logic/_al_u4082_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\u_logic/_al_u420|u_logic/_al_u422 (
.a({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.b({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Vhspw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vmipw6 }),
.f({\u_logic/Eqqow6 ,\u_logic/Kmqow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~((B*~A))+D*C*~((B*~A))+~(D)*C*(B*~A)+D*C*(B*~A))"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(D*~(C)*~((B*~A))+D*C*~((B*~A))+~(D)*C*(B*~A)+D*C*(B*~A))"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111101101000000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111101101000000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4211|u_logic/_al_u4213 (
.a({open_n136610,\u_logic/_al_u4212_o }),
.b({\u_logic/Cwyax6 ,\u_logic/_al_u4171_o }),
.c({\u_logic/Tngbx6 ,\u_logic/Bcabx6 }),
.d({\u_logic/Hkgow6 ,\u_logic/Vbspw6 }),
.f({\u_logic/Yjtow6_lutinv ,\u_logic/Rjtow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("~(B*~((D*~C))*~(A)+B*(D*~C)*~(A)+~(B)*(D*~C)*A+B*(D*~C)*A)"),
//.LUT1("(~((B*~A))*~(C)*~(D)+~((B*~A))*~(C)*D+(B*~A)*~(C)*D+~((B*~A))*C*D)"),
.INIT_LUT0(16'b1011000110111011),
.INIT_LUT1(16'b1011111100001011),
.MODE("LOGIC"))
\u_logic/_al_u4214|u_logic/_al_u4364 (
.a({\u_logic/Yjtow6_lutinv ,\u_logic/_al_u4332_o }),
.b({\u_logic/Rjtow6_lutinv ,\u_logic/Hkgow6 }),
.c({\u_logic/Oltow6_lutinv ,\u_logic/_al_u4212_o }),
.d({\u_logic/Hltow6_lutinv ,\u_logic/_al_u4171_o }),
.f({\u_logic/_al_u4214_o ,\u_logic/_al_u4364_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4219|u_logic/_al_u4180 (
.c({\u_logic/_al_u4178_o ,\u_logic/_al_u4148_o }),
.d({\u_logic/_al_u4217_o ,\u_logic/_al_u4142_o }),
.f({\u_logic/_al_u4219_o ,\u_logic/_al_u4180_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~0*~(~B*~(D*C))))"),
//.LUT1("(~A*~(~1*~(~B*~(D*C))))"),
.INIT_LUT0(16'b0000000100010001),
.INIT_LUT1(16'b0101010101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4223 (
.a({\u_logic/_al_u4184_o ,\u_logic/_al_u4184_o }),
.b({\u_logic/_al_u4199_o ,\u_logic/_al_u4199_o }),
.c({\u_logic/Kctow6_lutinv ,\u_logic/Kctow6_lutinv }),
.d({\u_logic/_al_u4220_o ,\u_logic/_al_u4220_o }),
.mi({open_n136695,\u_logic/_al_u4222_o }),
.fx({open_n136700,\u_logic/_al_u4223_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~B*~(~C*~A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*~B*~(~C*~A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0011001000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0011001000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4225|u_logic/_al_u4221 (
.a({open_n136703,\u_logic/_al_u4124_o }),
.b({open_n136704,\u_logic/_al_u4129_o }),
.c({\u_logic/_al_u4219_o ,\u_logic/_al_u4127_o }),
.d({\u_logic/_al_u4180_o ,\u_logic/_al_u4130_o }),
.f({\u_logic/_al_u4225_o ,\u_logic/_al_u4221_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~B*~(~0*~(D*~C))))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~A*~(~B*~(~1*~(D*~C))))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0101010001010101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0100010001000100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4226|u_logic/_al_u4110 (
.a({open_n136729,\u_logic/Fzsow6_lutinv }),
.b({open_n136730,\u_logic/_al_u4106_o }),
.c({\u_logic/_al_u4183_o ,\u_logic/_al_u4107_o }),
.d({\u_logic/_al_u4225_o ,\u_logic/_al_u4108_o }),
.e({open_n136733,\u_logic/Tmrow6 }),
.f({\u_logic/_al_u4226_o ,\u_logic/_al_u4110_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*(~(A)*B*~(0)+~(A)*~(B)*0+~(A)*B*0+A*B*0)))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(D*~(C*(~(A)*B*~(1)+~(A)*~(B)*1+~(A)*B*1+A*B*1)))"),
//.LUTG1("(C*~(B*D))"),
.INIT_LUTF0(16'b1011111100000000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0010111100000000),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4228|u_logic/_al_u2250 (
.a({open_n136754,\u_logic/Vwapw6 }),
.b({\u_logic/Gr2qw6 ,\u_logic/Sbrow6 }),
.c({\u_logic/Zdtpw6 ,\u_logic/Gcrow6_lutinv }),
.d({\u_logic/Bt2qw6 ,\u_logic/Pmapw6_lutinv }),
.e({open_n136757,\u_logic/Uj4bx6 }),
.f({\u_logic/_al_u4228_o ,\u_logic/P0biu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(~D*C))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(B*A*~(~D*C))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1000100000001000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1000100000001000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4229|u_logic/_al_u4233 (
.a({open_n136778,\u_logic/_al_u4181_o }),
.b({open_n136779,\u_logic/_al_u4232_o }),
.c({\u_logic/Wgipw6 ,\u_logic/_al_u4228_o }),
.d({\u_logic/_al_u4228_o ,\u_logic/Elnpw6 }),
.f({\u_logic/_al_u4229_o ,\u_logic/_al_u4233_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(A*~(~C*~B))))"),
//.LUT1("(D*~(1*~(A*~(~C*~B))))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1010100000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4230 (
.a({\u_logic/_al_u4181_o ,\u_logic/_al_u4181_o }),
.b({\u_logic/Klrow6_lutinv ,\u_logic/Klrow6_lutinv }),
.c({\u_logic/_al_u4183_o ,\u_logic/_al_u4183_o }),
.d({\u_logic/_al_u4229_o ,\u_logic/_al_u4229_o }),
.mi({open_n136816,\u_logic/Elnpw6 }),
.fx({open_n136821,\u_logic/_al_u4230_o }));
EG_PHY_MSLICE #(
//.LUT0("~(C*D)"),
//.LUT1("(~(A)*~(C)*~((~D*B))+A*~(C)*~((~D*B))+A*C*~((~D*B))+A*~(C)*(~D*B))"),
.INIT_LUT0(16'b0000111111111111),
.INIT_LUT1(16'b1010111100101011),
.MODE("LOGIC"))
\u_logic/_al_u4235|u_logic/_al_u140 (
.a({\u_logic/Gz6ax6 ,open_n136824}),
.b({\u_logic/Tl4bx6 ,open_n136825}),
.c({\u_logic/Uj4bx6 ,\u_logic/C1wpw6 }),
.d({\u_logic/Vpgbx6 ,\u_logic/_al_u139_o }),
.f({\u_logic/_al_u4235_o ,\u_logic/Mpgiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*B*~A))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(C*~(D*B*~A))"),
//.LUTG1("(C*~(B*~D))"),
.INIT_LUTF0(16'b1011000011110000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b1011000011110000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4237|u_logic/_al_u4313 (
.a({open_n136846,\u_logic/_al_u4233_o }),
.b({\u_logic/_al_u4236_o ,\u_logic/Carow6_lutinv }),
.c({\u_logic/F17ax6 ,\u_logic/_al_u4237_o }),
.d({\u_logic/_al_u4235_o ,\u_logic/Uj4bx6 }),
.f({\u_logic/_al_u4237_o ,\u_logic/_al_u4313_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(A*~((0*~B))*~(C)+A*(0*~B)*~(C)+~(A)*(0*~B)*C+A*(0*~B)*C))"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~D*~(A*~((1*~B))*~(C)+A*(1*~B)*~(C)+~(A)*(1*~B)*C+A*(1*~B)*C))"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000000011110101),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b0000000011000101),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4238|u_logic/_al_u4243 (
.a({open_n136871,\u_logic/_al_u4231_o }),
.b({\u_logic/Gz6ax6 ,\u_logic/_al_u4241_o }),
.c({\u_logic/Uj4bx6 ,\u_logic/_al_u4242_o }),
.d({\u_logic/_al_u4237_o ,\u_logic/_al_u2217_o }),
.e({open_n136874,\u_logic/Jhrow6_lutinv }),
.f({\u_logic/Rerow6_lutinv ,\u_logic/_al_u4243_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(A*(~0*~(D)*~(C)+~0*D*~(C)+~(~0)*D*C+~0*D*C)))"),
//.LUTF1("(D*B*~(~C*A))"),
//.LUTG0("(B*~(A*(~1*~(D)*~(C)+~1*D*~(C)+~(~1)*D*C+~1*D*C)))"),
//.LUTG1("(D*B*~(~C*A))"),
.INIT_LUTF0(16'b0100010011000100),
.INIT_LUTF1(16'b1100010000000000),
.INIT_LUTG0(16'b0100110011001100),
.INIT_LUTG1(16'b1100010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4240|u_logic/_al_u4381 (
.a({\u_logic/_al_u4233_o ,\u_logic/_al_u4253_o }),
.b({\u_logic/Carow6_lutinv ,\u_logic/L8gow6 }),
.c({\u_logic/Rerow6_lutinv ,\u_logic/_al_u4256_o }),
.d({\u_logic/Jhrow6_lutinv ,\u_logic/_al_u4371_o }),
.e({open_n136897,\u_logic/N9gow6_lutinv }),
.f({\u_logic/_al_u4240_o ,\u_logic/_al_u4381_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~D*~(~A*~(0*C))))"),
//.LUT1("(B*~(~D*~(~A*~(1*C))))"),
.INIT_LUT0(16'b1100110001000100),
.INIT_LUT1(16'b1100110000000100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4241 (
.a({\u_logic/_al_u4223_o ,\u_logic/_al_u4223_o }),
.b({\u_logic/_al_u4240_o ,\u_logic/_al_u4240_o }),
.c({\u_logic/_al_u4227_o ,\u_logic/_al_u4227_o }),
.d({\u_logic/_al_u4230_o ,\u_logic/_al_u4230_o }),
.mi({open_n136930,\u_logic/_al_u4228_o }),
.fx({open_n136935,\u_logic/_al_u4241_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~0*~D)*~(C*B*~A))"),
//.LUT1("(~(~1*~D)*~(C*B*~A))"),
.INIT_LUT0(16'b1011111100000000),
.INIT_LUT1(16'b1011111110111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4242 (
.a({\u_logic/_al_u4233_o ,\u_logic/_al_u4233_o }),
.b({\u_logic/Carow6_lutinv ,\u_logic/Carow6_lutinv }),
.c({\u_logic/Rerow6_lutinv ,\u_logic/Rerow6_lutinv }),
.d({\u_logic/F17ax6 ,\u_logic/F17ax6 }),
.mi({open_n136950,\u_logic/Mnmpw6 }),
.fx({open_n136955,\u_logic/_al_u4242_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*B*~A))"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b0000111100001011),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\u_logic/_al_u4244|u_logic/_al_u4363 (
.a({open_n136958,\u_logic/_al_u4241_o }),
.b({\u_logic/_al_u4233_o ,\u_logic/_al_u4242_o }),
.c({\u_logic/Rerow6_lutinv ,\u_logic/_al_u4245_o }),
.d({\u_logic/_al_u4242_o ,\u_logic/_al_u4237_o }),
.f({\u_logic/_al_u4244_o ,\u_logic/L8gow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(~D*A))"),
//.LUT1("(~C*~B*~D)"),
.INIT_LUT0(16'b0011111100010101),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"))
\u_logic/_al_u4245|u_logic/_al_u4234 (
.a({open_n136979,\u_logic/_al_u4226_o }),
.b({\u_logic/_al_u4236_o ,\u_logic/Bt2qw6 }),
.c({\u_logic/F17ax6 ,\u_logic/Gr2qw6 }),
.d({\u_logic/Carow6_lutinv ,\u_logic/Zdtpw6 }),
.f({\u_logic/_al_u4245_o ,\u_logic/Carow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4246|u_logic/_al_u988 (
.b({open_n137002,\u_logic/_al_u629_o }),
.c({\u_logic/B6cpw6 ,\u_logic/_al_u987_o }),
.d({\u_logic/_al_u4245_o ,\u_logic/_al_u633_o }),
.f({\u_logic/_al_u4246_o ,\u_logic/Vk1iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17569)
EG_PHY_LSLICE #(
//.LUTF0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("(~A*~(~C*~(D*~B)))"),
//.LUTG0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("(~A*~(~C*~(D*~B)))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010111000111111),
.INIT_LUTF1(16'b0101000101010000),
.INIT_LUTG0(16'b0010111000111111),
.INIT_LUTG1(16'b0101000101010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4248|u_logic/Xkqpw6_reg (
.a({\u_logic/_al_u1079_o ,\u_logic/_al_u633_o }),
.b({\u_logic/_al_u1068_o ,\u_logic/_al_u657_o }),
.c({\u_logic/Pdyax6 ,\u_logic/Yzlpw6 }),
.ce(\u_logic/n276 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/T8kbx6 ,\u_logic/Zgfax6 }),
.mi({open_n137026,\u_logic/Cjqpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u4248_o ,\u_logic/_al_u1048_o }),
.q({open_n137041,CDBGPWRUPREQ})); // ../rtl/topmodule/cortexm0ds_logic.v(17569)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~B*~D)"),
.INIT_LUT0(16'b0011001100001111),
.INIT_LUT1(16'b0000000000110000),
.MODE("LOGIC"))
\u_logic/_al_u4251|u_logic/_al_u4288 (
.b({\u_logic/_al_u4227_o ,\u_logic/_al_u4286_o }),
.c({\u_logic/Wgipw6 ,\u_logic/_al_u4287_o }),
.d({\u_logic/_al_u4223_o ,\u_logic/_al_u4256_o }),
.f({\u_logic/_al_u4251_o ,\u_logic/_al_u4288_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(0*~D*~B)*~(C*~A))"),
//.LUT1("(~(1*~D*~B)*~(C*~A))"),
.INIT_LUT0(16'b1010111110101111),
.INIT_LUT1(16'b1010111110001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4253 (
.a({\u_logic/_al_u4241_o ,\u_logic/_al_u4241_o }),
.b({\u_logic/_al_u4251_o ,\u_logic/_al_u4251_o }),
.c({\u_logic/_al_u4242_o ,\u_logic/_al_u4242_o }),
.d({\u_logic/_al_u4252_o ,\u_logic/_al_u4252_o }),
.mi({open_n137076,\u_logic/_al_u4228_o }),
.fx({open_n137081,\u_logic/_al_u4253_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~C*~D))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D)"),
//.LUTG0("(B*~(~C*~D))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D)"),
.INIT_LUTF0(16'b1100110011000000),
.INIT_LUTF1(16'b0001010100110011),
.INIT_LUTG0(16'b1100110011000000),
.INIT_LUTG1(16'b0001010100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4254|u_logic/_al_u4181 (
.a({\u_logic/Kctow6_lutinv ,open_n137084}),
.b({\u_logic/L9tow6 ,\u_logic/_al_u4179_o }),
.c({\u_logic/_al_u4198_o ,\u_logic/_al_u4180_o }),
.d({\u_logic/_al_u4220_o ,\u_logic/Brrow6_lutinv }),
.f({\u_logic/Anrow6_lutinv ,\u_logic/_al_u4181_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4256|u_logic/_al_u4127 (
.c({\u_logic/_al_u4225_o ,\u_logic/_al_u4120_o }),
.d({\u_logic/_al_u4255_o ,\u_logic/_al_u4117_o }),
.f({\u_logic/_al_u4256_o ,\u_logic/_al_u4127_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*D))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~(B*D))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0011000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4258|u_logic/_al_u4397 (
.b({open_n137135,\u_logic/H8low6_lutinv }),
.c({\u_logic/A0fow6_lutinv ,\u_logic/_al_u4314_o }),
.d({\u_logic/_al_u4245_o ,\u_logic/_al_u4253_o }),
.f({\u_logic/Ydeow6 ,\u_logic/_al_u4397_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19206)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4260|u_logic/Hf0bx6_reg (
.a({\u_logic/Yvgiu6 ,HWDATA[15]}),
.b({\u_logic/M6eiu6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Ujspw6 ,\u_logic/Hf0bx6 }),
.clk(clk_pad),
.d({\u_logic/Wlspw6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/Saeow6 ,open_n137177}),
.q({open_n137181,\u_logic/Hf0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19206)
// ../rtl/topmodule/cortexm0ds_logic.v(19494)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4261|u_logic/V73bx6_reg (
.a({\u_logic/_al_u4259_o ,open_n137182}),
.b({\u_logic/Saeow6 ,\u_logic/_al_u1959_o }),
.c({\u_logic/Y5eiu6 ,\u_logic/Ukbpw6_lutinv }),
.clk(clk_pad),
.d({\u_logic/T2kbx6 ,\u_logic/_al_u1958_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u4261_o ,\u_logic/Xyohu6 }),
.q({open_n137199,\u_logic/V73bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19494)
// ../rtl/topmodule/cortexm0ds_logic.v(19951)
EG_PHY_MSLICE #(
//.LUT0("(~(~C*~B)*~(~D*~A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110010101000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4263|u_logic/Thcbx6_reg (
.a({\u_logic/Cs1iu6 ,\u_logic/_al_u2613_o }),
.b({\u_logic/Xs1iu6 ,\u_logic/_al_u2574_o }),
.c({\u_logic/Hsdax6 ,\u_logic/Jieax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/V0cax6 ,\u_logic/U4fax6 }),
.mi({open_n137210,\u_logic/R84iu6 }),
.f({\u_logic/_al_u4263_o ,\u_logic/_al_u3571_o }),
.q({open_n137215,\u_logic/Thcbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19951)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C*B)*~(0*A))"),
//.LUTF1("(~B*A*~(D*C))"),
//.LUTG0("(~(D*C*B)*~(1*A))"),
//.LUTG1("(~B*A*~(D*C))"),
.INIT_LUTF0(16'b0011111111111111),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4268|u_logic/_al_u3254 (
.a({\u_logic/_al_u4267_o ,\u_logic/Cs1iu6 }),
.b({\u_logic/_al_u2979_o ,\u_logic/_al_u410_o }),
.c({\u_logic/HALTED ,\u_logic/Bt2qw6 }),
.d({\u_logic/_al_u410_o ,\u_logic/Gr2qw6 }),
.e({open_n137218,\u_logic/Phcax6 }),
.f({\u_logic/_al_u4268_o ,\u_logic/_al_u3254_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4270|u_logic/_al_u2638 (
.a({open_n137239,\u_logic/_al_u2637_o }),
.b({\u_logic/Oy8iu6 ,\u_logic/I8lax6 }),
.c({\u_logic/vis_primask_o ,\u_logic/P5vpw6 }),
.d({\u_logic/Cz8iu6 ,\u_logic/Vygax6 }),
.f({\u_logic/_al_u4270_o ,\u_logic/_al_u2638_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(B*D))"),
//.LUTG0("(~C*~(B*D))"),
.INIT_LUTF0(16'b0000001100001111),
.INIT_LUTG0(16'b0000001100001111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4271 (
.b({open_n137266,\u_logic/_al_u4270_o }),
.c({open_n137267,\u_logic/_al_u4248_o }),
.d({open_n137270,\u_logic/_al_u4247_o }),
.f({open_n137288,\u_logic/U6piu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4275|u_logic/_al_u1736 (
.a({\u_logic/F0eow6 ,open_n137294}),
.b({\u_logic/M0eow6 ,open_n137295}),
.c({\u_logic/Dt1bx6 ,\u_logic/C1wpw6 }),
.d({\u_logic/Jj0bx6 ,\u_logic/F0eow6 }),
.f({\u_logic/_al_u4275_o ,\u_logic/O59iu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*C)*~(D*B))"),
//.LUT1("(A*~(1*C)*~(D*B))"),
.INIT_LUT0(16'b0010001010101010),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4276 (
.a({\u_logic/_al_u4275_o ,\u_logic/_al_u4275_o }),
.b({\u_logic/Yvgiu6 ,\u_logic/Yvgiu6 }),
.c({\u_logic/M6eiu6 ,\u_logic/M6eiu6 }),
.d({\u_logic/Amupw6 ,\u_logic/Amupw6 }),
.mi({open_n137332,\u_logic/Yjupw6 }),
.fx({open_n137337,\u_logic/_al_u4276_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C*B))"),
//.LUT1("(~B*A*~(D*C))"),
.INIT_LUT0(16'b0010101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"))
\u_logic/_al_u4283|u_logic/_al_u3286 (
.a({\u_logic/_al_u4282_o ,\u_logic/Wo1iu6 }),
.b({\u_logic/_al_u2979_o ,\u_logic/_al_u3276_o }),
.c({\u_logic/HALTED ,\u_logic/_al_u3281_o }),
.d({\u_logic/_al_u410_o ,\u_logic/Jaqiu6 }),
.f({\u_logic/_al_u4283_o ,\u_logic/_al_u3286_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B*~A))"),
//.LUTF1("(B*~(~C*D))"),
//.LUTG0("(~C*~(~D*B*~A))"),
//.LUTG1("(B*~(~C*D))"),
.INIT_LUTF0(16'b0000111100001011),
.INIT_LUTF1(16'b1100000011001100),
.INIT_LUTG0(16'b0000111100001011),
.INIT_LUTG1(16'b1100000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4287|u_logic/_al_u4128 (
.a({open_n137360,\u_logic/_al_u4124_o }),
.b({\u_logic/_al_u4128_o ,\u_logic/_al_u4125_o }),
.c({\u_logic/Hnrow6_lutinv ,\u_logic/_al_u4126_o }),
.d({\u_logic/Xvrow6_lutinv ,\u_logic/_al_u4127_o }),
.f({\u_logic/_al_u4287_o ,\u_logic/_al_u4128_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4289|u_logic/_al_u81 (
.a({\u_logic/S1fiu6 ,open_n137385}),
.b({\u_logic/Q0fiu6 ,open_n137386}),
.c({\u_logic/Az3bx6 ,\u_logic/C1wpw6 }),
.d({\u_logic/L8zax6 ,\u_logic/S1fiu6 }),
.f({\u_logic/_al_u4289_o ,\u_logic/n1113 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19248)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4293|u_logic/Ot0bx6_reg (
.a({\u_logic/G2fiu6 ,HWDATA[23]}),
.b({\u_logic/M6eiu6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/I45bx6 ,\u_logic/Ot0bx6 }),
.clk(clk_pad),
.d({\u_logic/L9xax6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4293_o ,open_n137428}),
.q({open_n137432,\u_logic/Ot0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19248)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4294 (
.a({\u_logic/_al_u4293_o ,\u_logic/_al_u4293_o }),
.b({\u_logic/Tzdiu6 ,\u_logic/Tzdiu6 }),
.c({\u_logic/Yvgiu6 ,\u_logic/Yvgiu6 }),
.d({\u_logic/Nbxax6 ,\u_logic/Nbxax6 }),
.mi({open_n137445,\u_logic/Wmzax6 }),
.fx({open_n137450,\u_logic/_al_u4294_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*C*~(~B*A)))"),
//.LUT1("(D*~(1*C*~(~B*A)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b0010111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4297 (
.a({\u_logic/_al_u4253_o ,\u_logic/_al_u4253_o }),
.b({\u_logic/_al_u4288_o ,\u_logic/_al_u4288_o }),
.c({\u_logic/Ydeow6 ,\u_logic/Ydeow6 }),
.d({\u_logic/_al_u4296_o ,\u_logic/_al_u4296_o }),
.mi({open_n137465,\u_logic/_al_u1942_o }),
.fx({open_n137470,\u_logic/_al_u4297_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4299|u_logic/_al_u3123 (
.a({\u_logic/Vr1iu6 ,\u_logic/Kw1iu6_lutinv }),
.b({\u_logic/Ar1iu6 ,\u_logic/Iv1iu6 }),
.c({\u_logic/Lycax6 ,\u_logic/vis_pc_o[19] }),
.d({\u_logic/Z47ax6 ,\u_logic/Fldbx6 }),
.f({\u_logic/_al_u4299_o ,\u_logic/_al_u3123_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u4301|u_logic/_al_u4352 (
.a({\u_logic/Dw1iu6 ,\u_logic/Xs1iu6 }),
.b({\u_logic/Xs1iu6 ,\u_logic/Vr1iu6 }),
.c({\u_logic/Eudax6 ,\u_logic/Bwdax6 }),
.d({\u_logic/Xpeax6 ,\u_logic/I0dax6 }),
.f({\u_logic/_al_u4301_o ,\u_logic/_al_u4352_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20016)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4302|u_logic/Kpfbx6_reg (
.a({\u_logic/_al_u4298_o ,\u_logic/Iv1iu6 }),
.b({\u_logic/_al_u4300_o ,\u_logic/Vr1iu6 }),
.c({\u_logic/_al_u4301_o ,\u_logic/Mbdax6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Iv1iu6 ,\u_logic/vis_pc_o[3] }),
.e({\u_logic/vis_pc_o[14] ,open_n137517}),
.mi({open_n137519,\u_logic/P74iu6 }),
.f({\u_logic/_al_u4302_o ,\u_logic/_al_u3507_o }),
.q({open_n137535,\u_logic/Kpfbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20016)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4304|u_logic/_al_u2251 (
.a({open_n137536,\u_logic/Iugiu6 }),
.b({\u_logic/_al_u151_o ,\u_logic/_al_u1089_o }),
.c({\u_logic/Owoiu6 ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/Uzaiu6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u4304_o ,\u_logic/_al_u2251_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19994)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4306|u_logic/Jhebx6_reg (
.a({\u_logic/Bo1iu6 ,open_n137561}),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/Bo1iu6 }),
.c({\u_logic/Sd8ax6 ,\u_logic/Ufebx6 }),
.clk(clk_pad),
.d({\u_logic/Yvabx6 ,\u_logic/_al_u3118_o }),
.f({\u_logic/P3tiu6 ,open_n137580}),
.q({open_n137584,\u_logic/Jhebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19994)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(B)*~((~D*~A))+C*B*~((~D*~A))+~(C)*B*(~D*~A)+C*B*(~D*~A))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000111100011011),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4307|u_logic/_al_u4218 (
.a({open_n137585,\u_logic/_al_u4216_o }),
.b({open_n137586,\u_logic/_al_u4208_o }),
.c({\u_logic/_al_u4217_o ,\u_logic/Q8tow6_lutinv }),
.d({\u_logic/_al_u4216_o ,\u_logic/_al_u4217_o }),
.f({\u_logic/_al_u4307_o ,\u_logic/Kctow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(B*~(~A*~(D*~C))))"),
//.LUT1("(~1*~(B*~(~A*~(D*~C))))"),
.INIT_LUT0(16'b0111001101110111),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4308 (
.a({\u_logic/_al_u4185_o ,\u_logic/_al_u4185_o }),
.b({\u_logic/_al_u4186_o ,\u_logic/_al_u4186_o }),
.c({\u_logic/P3uow6_lutinv ,\u_logic/P3uow6_lutinv }),
.d({\u_logic/Yctow6_lutinv ,\u_logic/Yctow6_lutinv }),
.mi({open_n137619,\u_logic/_al_u4148_o }),
.fx({open_n137624,\u_logic/_al_u4308_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\u_logic/_al_u4309|u_logic/_al_u4075 (
.b({\u_logic/_al_u4307_o ,open_n137629}),
.c({\u_logic/_al_u4308_o ,\u_logic/_al_u4058_o }),
.d({\u_logic/_al_u4286_o ,\u_logic/_al_u4062_o }),
.f({\u_logic/C9low6_lutinv ,\u_logic/_al_u4075_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18927)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u430|u_logic/Rrvax6_reg (
.a({\u_logic/Mnqow6 ,\u_logic/Panow6_lutinv }),
.b({\u_logic/Voqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r4_o[2] ,\u_logic/vis_r3_o[1] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[2] ,\u_logic/vis_r4_o[1] }),
.mi({open_n137653,\u_logic/D39iu6 }),
.f({\u_logic/_al_u430_o ,\u_logic/_al_u249_o }),
.q({open_n137669,\u_logic/vis_r7_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18927)
// ../rtl/topmodule/cortexm0ds_logic.v(17534)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u431|u_logic/N9ppw6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Xpqow6 ,\u_logic/U9now6_lutinv }),
.c({\u_logic/vis_r3_o[2] ,\u_logic/vis_r1_o[1] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[2] ,\u_logic/vis_r0_o[1] }),
.mi({open_n137680,\u_logic/D39iu6 }),
.f({\u_logic/_al_u431_o ,\u_logic/Yc7pw6 }),
.q({open_n137685,\u_logic/vis_r5_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(17534)
EG_PHY_LSLICE #(
//.LUTF0("(C*(B*~(D)*~(A)+B*D*~(A)+~(B)*D*A+B*D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*(B*~(D)*~(A)+B*D*~(A)+~(B)*D*A+B*D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b1110000001000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1110000001000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4320|u_logic/_al_u2198 (
.a({\u_logic/S1fiu6 ,\u_logic/vis_ipsr_o[0] }),
.b({\u_logic/C0fiu6 ,\u_logic/Lfgbx6 }),
.c({\u_logic/J6zax6 ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/Up4bx6 ,\u_logic/Unyax6 }),
.f({\u_logic/_al_u4320_o ,\u_logic/_al_u2198_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*C)*~(D*B))"),
//.LUT1("(A*~(1*C)*~(D*B))"),
.INIT_LUT0(16'b0010001010101010),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4325 (
.a({\u_logic/L8tiu6 ,\u_logic/L8tiu6 }),
.b({\u_logic/Cs1iu6 ,\u_logic/Cs1iu6 }),
.c({\u_logic/Iv1iu6 ,\u_logic/Iv1iu6 }),
.d({\u_logic/Koabx6 ,\u_logic/Koabx6 }),
.mi({open_n137722,\u_logic/vis_pc_o[13] }),
.fx({open_n137727,\u_logic/_al_u4325_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~C*~B)*(0@A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(D*~(~C*~B)*(1@A))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b1010100000000000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0101010000000000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4326|u_logic/_al_u3593 (
.a({\u_logic/_al_u4325_o ,\u_logic/_al_u2460_o }),
.b({\u_logic/Uvsiu6 ,\u_logic/_al_u2545_o }),
.c({\u_logic/St1iu6 ,\u_logic/Eudax6 }),
.d({HRDATA[14],\u_logic/Xaeax6 }),
.e({open_n137732,\u_logic/Yxdax6 }),
.f({\u_logic/_al_u4326_o ,\u_logic/_al_u3593_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19890)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4327|u_logic/Qkabx6_reg (
.a({\u_logic/Zt1iu6 ,open_n137753}),
.b({\u_logic/Ar1iu6 ,open_n137754}),
.c({\u_logic/Nmabx6 ,\u_logic/X5bax6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Sb8ax6 ,\u_logic/_al_u3290_o }),
.mi({open_n137758,\u_logic/L54iu6 }),
.f({\u_logic/_al_u4327_o ,\u_logic/_al_u3295_o }),
.q({open_n137774,\u_logic/Qkabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19890)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4329|u_logic/_al_u3551 (
.a({\u_logic/_al_u4323_o ,\u_logic/_al_u2589_o }),
.b({\u_logic/_al_u4326_o ,\u_logic/_al_u2525_o }),
.c({\u_logic/_al_u4327_o ,\u_logic/Hjgax6 }),
.d({\u_logic/_al_u4328_o ,\u_logic/J8cax6 }),
.f({\u_logic/_al_u4329_o ,\u_logic/_al_u3551_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17536)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u432|u_logic/Mdppw6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Fnqow6 ,\u_logic/U9now6_lutinv }),
.c({\u_logic/vis_r2_o[2] ,\u_logic/vis_r1_o[0] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[2] ,\u_logic/vis_r0_o[0] }),
.mi({open_n137805,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u432_o ,\u_logic/Cy9pw6 }),
.q({open_n137810,\u_logic/vis_r5_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17536)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~(~D*~A)))"),
//.LUT1("(~B*~(~C*~D))"),
.INIT_LUT0(16'b0011000001110000),
.INIT_LUT1(16'b0011001100110000),
.MODE("LOGIC"))
\u_logic/_al_u4332|u_logic/_al_u4368 (
.a({open_n137811,\u_logic/_al_u4157_o }),
.b({\u_logic/_al_u4173_o ,\u_logic/_al_u4143_o }),
.c({\u_logic/_al_u4176_o ,\u_logic/_al_u4144_o }),
.d({\u_logic/_al_u4214_o ,\u_logic/_al_u4155_o }),
.f({\u_logic/_al_u4332_o ,\u_logic/_al_u4368_o }));
EG_PHY_MSLICE #(
//.LUT0("~((D*~C)*~(B)*~(A)+(D*~C)*B*~(A)+~((D*~C))*B*A+(D*~C)*B*A)"),
//.LUT1("(A*~(~D*~C*B))"),
.INIT_LUT0(16'b0111001001110111),
.INIT_LUT1(16'b1010101010100010),
.MODE("LOGIC"))
\u_logic/_al_u4333|u_logic/_al_u4377 (
.a({\u_logic/_al_u4200_o ,\u_logic/_al_u4338_o }),
.b({\u_logic/Altow6_lutinv ,\u_logic/_al_u4051_o }),
.c({\u_logic/Tktow6_lutinv ,\u_logic/_al_u4060_o }),
.d({\u_logic/_al_u4207_o ,\u_logic/_al_u4054_o }),
.f({\u_logic/_al_u4333_o ,\u_logic/Iagow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100011010000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1101100011010000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4334|u_logic/_al_u4208 (
.a({open_n137852,\u_logic/_al_u4200_o }),
.b({\u_logic/_al_u4332_o ,\u_logic/Altow6_lutinv }),
.c({\u_logic/_al_u4333_o ,\u_logic/Tktow6_lutinv }),
.d({\u_logic/_al_u4307_o ,\u_logic/_al_u4207_o }),
.f({\u_logic/_al_u4334_o ,\u_logic/_al_u4208_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~C*~D))"),
//.LUTG0("(~B*~(~C*~D))"),
.INIT_LUTF0(16'b0011001100110000),
.INIT_LUTG0(16'b0011001100110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4336 (
.b({open_n137879,\u_logic/_al_u4135_o }),
.c({open_n137880,\u_logic/_al_u4140_o }),
.d({open_n137883,\u_logic/_al_u4335_o }),
.f({open_n137901,\u_logic/_al_u4336_o }));
EG_PHY_MSLICE #(
//.LUT0("((D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*~(B)*~(A)+(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*B*~(A)+~((D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C))*B*A+(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*B*A)"),
//.LUT1("((D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*~(B)*~(A)+(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*B*~(A)+~((D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C))*B*A+(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*B*A)"),
.INIT_LUT0(16'b1000110110001000),
.INIT_LUT1(16'b1101110111011000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4337 (
.a({\u_logic/_al_u4286_o ,\u_logic/_al_u4286_o }),
.b({\u_logic/_al_u4334_o ,\u_logic/_al_u4334_o }),
.c({\u_logic/_al_u4308_o ,\u_logic/_al_u4308_o }),
.d({\u_logic/_al_u4336_o ,\u_logic/_al_u4336_o }),
.mi({open_n137919,\u_logic/_al_u4161_o }),
.fx({open_n137924,\u_logic/X1fow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(C*~(~B*~(~D*A))))"),
//.LUT1("(~1*~(C*~(~B*~(~D*A))))"),
.INIT_LUT0(16'b0011111100011111),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4338 (
.a({\u_logic/L3sow6_lutinv ,\u_logic/L3sow6_lutinv }),
.b({\u_logic/_al_u4057_o ,\u_logic/_al_u4057_o }),
.c({\u_logic/_al_u4059_o ,\u_logic/_al_u4059_o }),
.d({\u_logic/E3sow6_lutinv ,\u_logic/E3sow6_lutinv }),
.mi({open_n137939,\u_logic/_al_u4062_o }),
.fx({open_n137944,\u_logic/_al_u4338_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~B*~(~A*~(D*~C))))"),
//.LUT1("(~1*~(~B*~(~A*~(D*~C))))"),
.INIT_LUT0(16'b1101110011011101),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4339 (
.a({\u_logic/_al_u4078_o ,\u_logic/_al_u4078_o }),
.b({\u_logic/_al_u4079_o ,\u_logic/_al_u4079_o }),
.c({\u_logic/S3sow6_lutinv ,\u_logic/S3sow6_lutinv }),
.d({\u_logic/Z3sow6_lutinv ,\u_logic/Z3sow6_lutinv }),
.mi({open_n137959,\u_logic/_al_u4069_o }),
.fx({open_n137964,\u_logic/M6fow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18796)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u433|u_logic/Kjoax6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/Eqqow6 ,\u_logic/C7now6_lutinv }),
.c({\u_logic/vis_r7_o[2] ,\u_logic/vis_r6_o[1] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[2] ,\u_logic/vis_r7_o[1] }),
.mi({open_n137977,\u_logic/D39iu6 }),
.f({\u_logic/_al_u433_o ,\u_logic/_al_u251_o }),
.q({open_n137982,\u_logic/vis_r6_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18796)
EG_PHY_LSLICE #(
//.LUTF0("(B*~((0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C))*~(A)+B*(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*~(A)+~(B)*(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*A+B*(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*A)"),
//.LUTF1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(B*~((1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C))*~(A)+B*(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*~(A)+~(B)*(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*A+B*(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*A)"),
//.LUTG1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1110010001000100),
.INIT_LUTF1(16'b0011001100001111),
.INIT_LUTG0(16'b1110111001001110),
.INIT_LUTG1(16'b0011001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4340|u_logic/_al_u4380 (
.a({open_n137983,\u_logic/_al_u4287_o }),
.b({\u_logic/_al_u4338_o ,\u_logic/U9gow6_lutinv }),
.c({\u_logic/M6fow6 ,\u_logic/_al_u4311_o }),
.d({\u_logic/_al_u4311_o ,\u_logic/Iagow6_lutinv }),
.e({open_n137986,\u_logic/Pagow6_lutinv }),
.f({\u_logic/_al_u4340_o ,\u_logic/N9gow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~C*~D))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(B*~(~C*~D))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1100110011000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100110011000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4341|u_logic/_al_u4310 (
.b({open_n138009,\u_logic/_al_u4119_o }),
.c({\u_logic/Fzsow6_lutinv ,\u_logic/Mtrow6_lutinv }),
.d({\u_logic/Tzsow6_lutinv ,\u_logic/_al_u4099_o }),
.f({\u_logic/_al_u4341_o ,\u_logic/_al_u4310_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~0*(A*~(C)*~(D)+~(A)*C*~(D)+A*C*~(D)+A*C*D)))"),
//.LUT1("(~B*~(~1*(A*~(C)*~(D)+~(A)*C*~(D)+A*C*~(D)+A*C*D)))"),
.INIT_LUT0(16'b0001001100000001),
.INIT_LUT1(16'b0011001100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4342 (
.a({\u_logic/_al_u4341_o ,\u_logic/_al_u4341_o }),
.b({\u_logic/_al_u4106_o ,\u_logic/_al_u4106_o }),
.c({\u_logic/_al_u4107_o ,\u_logic/_al_u4107_o }),
.d({\u_logic/_al_u4108_o ,\u_logic/_al_u4108_o }),
.mi({open_n138046,\u_logic/Tmrow6 }),
.fx({open_n138051,\u_logic/_al_u4342_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~A*~(D*B)))"),
//.LUTF1("(~C*~(~B*~D))"),
//.LUTG0("(~C*~(~A*~(D*B)))"),
//.LUTG1("(~C*~(~B*~D))"),
.INIT_LUTF0(16'b0000111000001010),
.INIT_LUTF1(16'b0000111100001100),
.INIT_LUTG0(16'b0000111000001010),
.INIT_LUTG1(16'b0000111100001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4343|u_logic/_al_u4231 (
.a({open_n138054,\u_logic/_al_u4223_o }),
.b({\u_logic/_al_u4097_o ,\u_logic/_al_u4227_o }),
.c({\u_logic/_al_u4098_o ,\u_logic/_al_u4230_o }),
.d({\u_logic/_al_u4096_o ,\u_logic/_al_u4228_o }),
.f({\u_logic/_al_u4343_o ,\u_logic/_al_u4231_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*~(B)*~(A)+~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*B*~(A)+~(~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C))*B*A+~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*B*A)"),
//.LUT1("(~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*~(B)*~(A)+~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*B*~(A)+~(~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C))*B*A+~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*B*A)"),
.INIT_LUT0(16'b1101100011011101),
.INIT_LUT1(16'b1000100010001101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4344 (
.a({\u_logic/_al_u4287_o ,\u_logic/_al_u4287_o }),
.b({\u_logic/_al_u4340_o ,\u_logic/_al_u4340_o }),
.c({\u_logic/_al_u4310_o ,\u_logic/_al_u4310_o }),
.d({\u_logic/_al_u4342_o ,\u_logic/_al_u4342_o }),
.mi({open_n138091,\u_logic/_al_u4343_o }),
.fx({open_n138096,\u_logic/_al_u4344_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("~(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D)"),
//.LUTG0("(A*~(B)*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("~(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D)"),
.INIT_LUTF0(16'b1010111010100000),
.INIT_LUTF1(16'b0011001111110000),
.INIT_LUTG0(16'b1010111010100000),
.INIT_LUTG1(16'b0011001111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4345|u_logic/_al_u4115 (
.a({open_n138099,\u_logic/_al_u4094_o }),
.b({\u_logic/X1fow6 ,\u_logic/_al_u4097_o }),
.c({\u_logic/_al_u4344_o ,\u_logic/_al_u4098_o }),
.d({\u_logic/_al_u4256_o ,\u_logic/Atsow6_lutinv }),
.f({\u_logic/_al_u4345_o ,\u_logic/Losow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(0*(~(A)*B*~(D)+~(A)*~(B)*D+~(A)*B*D+A*B*D)))"),
//.LUTF1("(D*~(~C*~(~B*A)))"),
//.LUTG0("(C*~(1*(~(A)*B*~(D)+~(A)*~(B)*D+~(A)*B*D+A*B*D)))"),
//.LUTG1("(D*~(~C*~(~B*A)))"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1111001000000000),
.INIT_LUTG0(16'b0010000010110000),
.INIT_LUTG1(16'b1111001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4346|u_logic/_al_u4247 (
.a({\u_logic/_al_u4253_o ,\u_logic/_al_u4243_o }),
.b({\u_logic/_al_u4345_o ,\u_logic/_al_u4244_o }),
.c({\u_logic/_al_u4245_o ,\u_logic/_al_u4246_o }),
.d({\u_logic/A0fow6_lutinv ,\u_logic/Sbrow6 }),
.e({open_n138126,\u_logic/Gcrow6_lutinv }),
.f({\u_logic/_al_u4346_o ,\u_logic/_al_u4247_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19422)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4347|u_logic/Li2bx6_reg (
.a({\u_logic/F0eow6 ,\u_logic/_al_u1933_o }),
.b({\u_logic/M0eow6 ,\u_logic/_al_u1066_o }),
.c({\u_logic/Fb0bx6 ,\u_logic/_al_u1931_o }),
.clk(clk_pad),
.d({\u_logic/Rk1bx6 ,\u_logic/_al_u1914_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u4347_o ,\u_logic/G0phu6 }),
.q({open_n138167,\u_logic/Li2bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19422)
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*C))"),
//.LUT1("(B*~(C*~D))"),
.INIT_LUT0(16'b0000001000100010),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"))
\u_logic/_al_u4349|u_logic/_al_u3037 (
.a({open_n138168,\u_logic/_al_u3036_o }),
.b({\u_logic/_al_u4348_o ,\u_logic/_al_u2282_o }),
.c({\u_logic/_al_u1942_o ,\u_logic/_al_u1942_o }),
.d({\u_logic/_al_u4346_o ,\u_logic/Mnmpw6 }),
.f({\u_logic/U2tiu6 ,\u_logic/I0wiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4351 (
.a({\u_logic/_al_u4350_o ,\u_logic/_al_u4350_o }),
.b({\u_logic/Kw1iu6_lutinv ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/Zt1iu6 ,\u_logic/Zt1iu6 }),
.d({\u_logic/Egaax6 ,\u_logic/Egaax6 }),
.mi({open_n138201,\u_logic/Oi9ax6 }),
.fx({open_n138206,\u_logic/_al_u4351_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18249)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4354|u_logic/Bxbax6_reg (
.a({\u_logic/_al_u4351_o ,\u_logic/Cs1iu6 }),
.b({\u_logic/_al_u4353_o ,\u_logic/Vr1iu6 }),
.c({\u_logic/St1iu6 ,\u_logic/Bxbax6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({HRDATA[13],\u_logic/Uscax6 }),
.mi({open_n138212,\u_logic/U64iu6 }),
.f({\u_logic/_al_u4354_o ,\u_logic/_al_u3136_o }),
.q({open_n138228,\u_logic/Bxbax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18249)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4356|_al_u209 (
.c({\u_logic/Wofiu6_lutinv ,clk_pad}),
.d({\u_logic/U6piu6 ,\SPI_TX/MSI_clk_en }),
.f({\u_logic/H2ciu6_lutinv ,MSI_SCLK_pad}));
EG_PHY_MSLICE #(
//.LUT0("(~B*~((D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C))*~(A)+~B*(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*~(A)+~(~B)*(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*A+~B*(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*A)"),
//.LUT1("(~B*~((D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C))*~(A)+~B*(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*~(A)+~(~B)*(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*A+~B*(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*A)"),
.INIT_LUT0(16'b0001101100010001),
.INIT_LUT1(16'b1011101110110001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4366 (
.a({\u_logic/_al_u4307_o ,\u_logic/_al_u4307_o }),
.b({\u_logic/_al_u4364_o ,\u_logic/_al_u4364_o }),
.c({\u_logic/_al_u4333_o ,\u_logic/_al_u4333_o }),
.d({\u_logic/_al_u4365_o ,\u_logic/_al_u4365_o }),
.mi({open_n138269,\u_logic/_al_u4202_o }),
.fx({open_n138274,\u_logic/Efgow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(B*~((0*~(D*~C)))*~(A)+B*(0*~(D*~C))*~(A)+~(B)*(0*~(D*~C))*A+B*(0*~(D*~C))*A)"),
//.LUT1("(B*~((1*~(D*~C)))*~(A)+B*(1*~(D*~C))*~(A)+~(B)*(1*~(D*~C))*A+B*(1*~(D*~C))*A)"),
.INIT_LUT0(16'b0100010001000100),
.INIT_LUT1(16'b1110010011101110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4367 (
.a({\u_logic/_al_u4336_o ,\u_logic/_al_u4336_o }),
.b({\u_logic/Whgow6 ,\u_logic/Whgow6 }),
.c({\u_logic/_al_u4192_o ,\u_logic/_al_u4192_o }),
.d({\u_logic/_al_u4133_o ,\u_logic/_al_u4133_o }),
.mi({open_n138289,\u_logic/_al_u4134_o }),
.fx({open_n138294,\u_logic/Zfgow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.MODE("LOGIC"))
\u_logic/_al_u4371 (
.b({open_n138299,\u_logic/Efgow6_lutinv }),
.c({open_n138300,\u_logic/Lfgow6_lutinv }),
.d({open_n138303,\u_logic/_al_u4286_o }),
.f({open_n138317,\u_logic/_al_u4371_o }));
EG_PHY_LSLICE #(
//.LUTF0("((D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*~(B)*~(A)+(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*B*~(A)+~((D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C))*B*A+(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*B*A)"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("((D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*~(B)*~(A)+(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*B*~(A)+~((D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C))*B*A+(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*B*A)"),
//.LUTG1("(C*~(B*~D))"),
.INIT_LUTF0(16'b1000110110001000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b1101110111011000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4372|u_logic/_al_u4312 (
.a({open_n138323,\u_logic/_al_u4256_o }),
.b({\u_logic/_al_u4101_o ,\u_logic/C9low6_lutinv }),
.c({\u_logic/_al_u4102_o ,\u_logic/_al_u4287_o }),
.d({\u_logic/_al_u4100_o ,\u_logic/_al_u4310_o }),
.e({open_n138326,\u_logic/_al_u4311_o }),
.f({\u_logic/_al_u4372_o ,\u_logic/H8low6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("~(B*~((D*~C))*~(A)+B*(D*~C)*~(A)+~(B)*(D*~C)*A+B*(D*~C)*A)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("~(B*~((D*~C))*~(A)+B*(D*~C)*~(A)+~(B)*(D*~C)*A+B*(D*~C)*A)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1011000110111011),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1011000110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4373|u_logic/_al_u4106 (
.a({\u_logic/_al_u4342_o ,open_n138347}),
.b({\u_logic/_al_u4372_o ,open_n138348}),
.c({\u_logic/_al_u4112_o ,\u_logic/_al_u4105_o }),
.d({\u_logic/_al_u4105_o ,\u_logic/_al_u4104_o }),
.f({\u_logic/_al_u4373_o ,\u_logic/_al_u4106_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C)*~((B*~A))+D*C*~((B*~A))+~(D)*C*(B*~A)+D*C*(B*~A))"),
//.LUTF1("(A*~(D*~(C*~B)))"),
//.LUTG0("(D*~(C)*~((B*~A))+D*C*~((B*~A))+~(D)*C*(B*~A)+D*C*(B*~A))"),
//.LUTG1("(A*~(D*~(C*~B)))"),
.INIT_LUTF0(16'b1111101101000000),
.INIT_LUTF1(16'b0010000010101010),
.INIT_LUTG0(16'b1111101101000000),
.INIT_LUTG1(16'b0010000010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4374|u_logic/_al_u4113 (
.a({\u_logic/_al_u4343_o ,\u_logic/_al_u4112_o }),
.b({\u_logic/_al_u4086_o ,\u_logic/_al_u4105_o }),
.c({\u_logic/Qusow6 ,\u_logic/Pz9bx6 }),
.d({\u_logic/_al_u4088_o ,\u_logic/Up4bx6 }),
.f({\u_logic/_al_u4374_o ,\u_logic/Tzsow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("~(~B*~((~D*~C))*~(A)+~B*(~D*~C)*~(A)+~(~B)*(~D*~C)*A+~B*(~D*~C)*A)"),
.INIT_LUT0(16'b1110111011100100),
.MODE("LOGIC"))
\u_logic/_al_u4376 (
.a({open_n138397,\u_logic/_al_u4310_o }),
.b({open_n138398,\u_logic/_al_u4373_o }),
.c({open_n138399,\u_logic/_al_u4374_o }),
.d({open_n138402,\u_logic/_al_u4375_o }),
.f({open_n138416,\u_logic/U9gow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~((B*~A))*~(C)*~(D)+~((B*~A))*~(C)*D+(B*~A)*~(C)*D+~((B*~A))*C*D)"),
//.LUT1("(C*~(B*~D))"),
.INIT_LUT0(16'b1011111100001011),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"))
\u_logic/_al_u4378|u_logic/_al_u4335 (
.a({open_n138422,\u_logic/E8uow6_lutinv }),
.b({\u_logic/_al_u4070_o ,\u_logic/Q7uow6_lutinv }),
.c({\u_logic/_al_u4071_o ,\u_logic/_al_u4136_o }),
.d({\u_logic/_al_u4082_o ,\u_logic/_al_u4139_o }),
.f({\u_logic/_al_u4378_o ,\u_logic/_al_u4335_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*D))"),
//.LUTF1("(~B*~(~C*~D))"),
//.LUTG0("(C*~(B*D))"),
//.LUTG1("(~B*~(~C*~D))"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0011001100110000),
.INIT_LUTG0(16'b0011000011110000),
.INIT_LUTG1(16'b0011001100110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4382|u_logic/_al_u4236 (
.b({\u_logic/Pdyax6 ,\u_logic/Gr2qw6 }),
.c({\u_logic/T8kbx6 ,\u_logic/Mnmpw6 }),
.d({\u_logic/_al_u4381_o ,\u_logic/Bt2qw6 }),
.f({\u_logic/_al_u4382_o ,\u_logic/_al_u4236_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u4383|u_logic/_al_u3112 (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/Dw1iu6 }),
.b({\u_logic/Cs1iu6 ,\u_logic/Vr1iu6 }),
.c({\u_logic/Lk9ax6 ,\u_logic/Daebx6 }),
.d({\u_logic/M6cax6 ,\u_logic/Xdebx6 }),
.f({\u_logic/_al_u4383_o ,\u_logic/_al_u3112_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*B*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0100000011000000),
.MODE("LOGIC"))
\u_logic/_al_u4385|u_logic/_al_u4328 (
.a({\u_logic/St1iu6 ,\u_logic/Xs1iu6 }),
.b({\u_logic/_al_u4383_o ,\u_logic/Vr1iu6 }),
.c({\u_logic/_al_u4384_o ,\u_logic/Buabx6 }),
.d({HRDATA[12],\u_logic/Esabx6 }),
.f({\u_logic/_al_u4385_o ,\u_logic/_al_u4328_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~A*~(~0*D))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~C*~B*~A*~(~1*D))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000100000001),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4389|u_logic/_al_u2825 (
.a({\u_logic/F0eow6 ,\u_logic/_al_u2822_o }),
.b({\u_logic/M0eow6 ,\u_logic/_al_u2823_o }),
.c({\u_logic/E90bx6 ,\u_logic/_al_u2824_o }),
.d({\u_logic/Z71bx6 ,\u_logic/N0phu6 }),
.e({open_n138511,\u_logic/Fe2bx6 }),
.f({\u_logic/A6gow6 ,\u_logic/_al_u2825_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*B*~(D*A))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*B*~(D*A))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0100000011000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0100000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4391|u_logic/_al_u3057 (
.a({\u_logic/_al_u4382_o ,open_n138532}),
.b({\u_logic/_al_u4388_o ,open_n138533}),
.c({\u_logic/_al_u4390_o ,\u_logic/Cncbx6 }),
.d({\u_logic/_al_u1942_o ,\u_logic/D7xiu6_lutinv }),
.f({\u_logic/_al_u4391_o ,\u_logic/_al_u3057_o }));
EG_PHY_LSLICE #(
//.LUTF0("((0*~(~D*C))*~(B)*~(A)+(0*~(~D*C))*B*~(A)+~((0*~(~D*C)))*B*A+(0*~(~D*C))*B*A)"),
//.LUTF1("~(~(D*~(~0*C))*~(B)*~(A)+~(D*~(~0*C))*B*~(A)+~(~(D*~(~0*C)))*B*A+~(D*~(~0*C))*B*A)"),
//.LUTG0("((1*~(~D*C))*~(B)*~(A)+(1*~(~D*C))*B*~(A)+~((1*~(~D*C)))*B*A+(1*~(~D*C))*B*A)"),
//.LUTG1("~(~(D*~(~1*C))*~(B)*~(A)+~(D*~(~1*C))*B*~(A)+~(~(D*~(~1*C)))*B*A+~(D*~(~1*C))*B*A)"),
.INIT_LUTF0(16'b1000100010001000),
.INIT_LUTF1(16'b0010011100100010),
.INIT_LUTG0(16'b1101110110001101),
.INIT_LUTG1(16'b0111011100100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4394|u_logic/_al_u4430 (
.a({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.b({\u_logic/_al_u4346_o ,\u_logic/_al_u4382_o }),
.c({\u_logic/_al_u4358_o ,\u_logic/_al_u4358_o }),
.d({\u_logic/T5mpw6 ,\u_logic/Vzjpw6 }),
.e({\u_logic/Vzjpw6 ,\u_logic/Xdspw6 }),
.f({\u_logic/_al_u4394_o ,\u_logic/_al_u4430_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(C*~(~B*D))"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(C*~(~B*D))"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b1100000011110000),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b1100000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4400|u_logic/_al_u1016 (
.b({\u_logic/_al_u4288_o ,\u_logic/Oulpw6 }),
.c({\u_logic/Ydeow6 ,\u_logic/Vplpw6 }),
.d({\u_logic/_al_u4253_o ,\u_logic/Y93iu6 }),
.f({\u_logic/_al_u4400_o ,\u_logic/Cl1iu6 }));
EG_PHY_MSLICE #(
//.LUT0("((0*~(~D*C))*~(B)*~(A)+(0*~(~D*C))*B*~(A)+~((0*~(~D*C)))*B*A+(0*~(~D*C))*B*A)"),
//.LUT1("((1*~(~D*C))*~(B)*~(A)+(1*~(~D*C))*B*~(A)+~((1*~(~D*C)))*B*A+(1*~(~D*C))*B*A)"),
.INIT_LUT0(16'b1000100010001000),
.INIT_LUT1(16'b1101110110001101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4401 (
.a({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.b({\u_logic/_al_u4400_o ,\u_logic/_al_u4400_o }),
.c({\u_logic/_al_u4358_o ,\u_logic/_al_u4358_o }),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.mi({open_n138618,\u_logic/Xiipw6 }),
.fx({open_n138623,\u_logic/_al_u4401_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4403|u_logic/_al_u4272 (
.b({\u_logic/_al_u4256_o ,open_n138628}),
.c({\u_logic/Ydeow6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/_al_u4253_o ,\u_logic/_al_u1066_o }),
.f({\u_logic/_al_u4403_o ,\u_logic/_al_u4272_o }));
EG_PHY_MSLICE #(
//.LUT0("((D*~(~0*C))*~(B)*~(A)+(D*~(~0*C))*B*~(A)+~((D*~(~0*C)))*B*A+(D*~(~0*C))*B*A)"),
//.LUT1("((D*~(~1*C))*~(B)*~(A)+(D*~(~1*C))*B*~(A)+~((D*~(~1*C)))*B*A+(D*~(~1*C))*B*A)"),
.INIT_LUT0(16'b1000110110001000),
.INIT_LUT1(16'b1101110110001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4404 (
.a({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.b({\u_logic/_al_u4403_o ,\u_logic/_al_u4403_o }),
.c({\u_logic/_al_u4358_o ,\u_logic/_al_u4358_o }),
.d({\u_logic/G2iax6 ,\u_logic/G2iax6 }),
.mi({open_n138661,\u_logic/Vzjpw6 }),
.fx({open_n138666,\u_logic/_al_u4404_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u4406|u_logic/_al_u625 (
.b({open_n138671,\u_logic/Golpw6 }),
.c({\u_logic/_al_u4256_o ,\u_logic/Vplpw6 }),
.d({\u_logic/_al_u4253_o ,\u_logic/_al_u622_o }),
.f({\u_logic/H9kow6_lutinv ,\u_logic/n327 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17465)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~((~B*~A))*~(C)+~D*(~B*~A)*~(C)+~(~D)*(~B*~A)*C+~D*(~B*~A)*C)"),
//.LUTF1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
//.LUTG0("~(~D*~((~B*~A))*~(C)+~D*(~B*~A)*~(C)+~(~D)*(~B*~A)*C+~D*(~B*~A)*C)"),
//.LUTG1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111111100000),
.INIT_LUTF1(16'b0010011110101111),
.INIT_LUTG0(16'b1110111111100000),
.INIT_LUTG1(16'b0010011110101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4408|u_logic/Fnnpw6_reg (
.a({\u_logic/H2ciu6_lutinv ,\u_logic/_al_u4247_o }),
.b({\u_logic/H9kow6_lutinv ,\u_logic/_al_u4248_o }),
.c({\u_logic/_al_u4407_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Ydeow6 ,\u_logic/Fnnpw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4408_o ,open_n138709}),
.q({open_n138713,\u_logic/Fnnpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17465)
EG_PHY_MSLICE #(
//.LUT0("(~(D@(C*B))*~(0@A))"),
//.LUT1("(~(D@(C*B))*~(1@A))"),
.INIT_LUT0(16'b0100000000010101),
.INIT_LUT1(16'b1000000000101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4410 (
.a({\u_logic/_al_u4397_o ,\u_logic/_al_u4397_o }),
.b({\u_logic/H9kow6_lutinv ,\u_logic/H9kow6_lutinv }),
.c({\u_logic/Ydeow6 ,\u_logic/Ydeow6 }),
.d({\u_logic/F4iax6 ,\u_logic/F4iax6 }),
.mi({open_n138726,\u_logic/Jpmpw6 }),
.fx({open_n138731,\u_logic/_al_u4410_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C@B)*~(0@A))"),
//.LUT1("(D*~(C@B)*~(1@A))"),
.INIT_LUT0(16'b0100000100000000),
.INIT_LUT1(16'b1000001000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4411 (
.a({\u_logic/_al_u4400_o ,\u_logic/_al_u4400_o }),
.b({\u_logic/_al_u4403_o ,\u_logic/_al_u4403_o }),
.c({\u_logic/G2iax6 ,\u_logic/G2iax6 }),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.mi({open_n138746,\u_logic/Xiipw6 }),
.fx({open_n138751,\u_logic/_al_u4411_o }));
EG_PHY_LSLICE #(
//.LUTF0("((D*~(~0*C))*~(B)*~(A)+(D*~(~0*C))*B*~(A)+~((D*~(~0*C)))*B*A+(D*~(~0*C))*B*A)"),
//.LUTF1("~(C@D)"),
//.LUTG0("((D*~(~1*C))*~(B)*~(A)+(D*~(~1*C))*B*~(A)+~((D*~(~1*C)))*B*A+(D*~(~1*C))*B*A)"),
//.LUTG1("~(C@D)"),
.INIT_LUTF0(16'b1000110110001000),
.INIT_LUTF1(16'b1111000000001111),
.INIT_LUTG0(16'b1101110110001000),
.INIT_LUTG1(16'b1111000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4412|u_logic/_al_u4398 (
.a({open_n138754,\u_logic/H2ciu6_lutinv }),
.b({open_n138755,\u_logic/_al_u4397_o }),
.c({\u_logic/T5mpw6 ,\u_logic/_al_u4358_o }),
.d({\u_logic/_al_u4346_o ,\u_logic/Jpmpw6 }),
.e({open_n138758,\u_logic/Vzjpw6 }),
.f({\u_logic/_al_u4412_o ,\u_logic/_al_u4398_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(D*~((C*B))*~(A)+D*(C*B)*~(A)+~(D)*(C*B)*A+D*(C*B)*A)"),
//.LUTF1("~(D*~((C*B))*~(A)+D*(C*B)*~(A)+~(D)*(C*B)*A+D*(C*B)*A)"),
//.LUTG0("~(D*~((C*B))*~(A)+D*(C*B)*~(A)+~(D)*(C*B)*A+D*(C*B)*A)"),
//.LUTG1("~(D*~((C*B))*~(A)+D*(C*B)*~(A)+~(D)*(C*B)*A+D*(C*B)*A)"),
.INIT_LUTF0(16'b0010101001111111),
.INIT_LUTF1(16'b0010101001111111),
.INIT_LUTG0(16'b0010101001111111),
.INIT_LUTG1(16'b0010101001111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4414|u_logic/_al_u4416 (
.a({\u_logic/_al_u4358_o ,\u_logic/_al_u4358_o }),
.b({\u_logic/_al_u2858_o ,\u_logic/_al_u2858_o }),
.c({HRDATA[6],HRDATA[7]}),
.d({\u_logic/E8iax6 ,\u_logic/Zqiax6 }),
.f({\u_logic/_al_u4414_o ,\u_logic/_al_u4416_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~C*~D))"),
//.LUT1("~(D*~((C*B))*~(A)+D*(C*B)*~(A)+~(D)*(C*B)*A+D*(C*B)*A)"),
.INIT_LUT0(16'b1100110011000000),
.INIT_LUT1(16'b0010101001111111),
.MODE("LOGIC"))
\u_logic/_al_u4418|u_logic/_al_u1081 (
.a({\u_logic/_al_u4358_o ,open_n138803}),
.b({\u_logic/_al_u2858_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.c({HRDATA[8],\u_logic/Ydopw6 }),
.d({\u_logic/Ysiax6 ,\u_logic/Wofiu6_lutinv }),
.f({\u_logic/_al_u4418_o ,\u_logic/_al_u1081_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u441|u_logic/_al_u501 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/G30iu6 ,\u_logic/X10iu6 }),
.f({\u_logic/Tgfpw6 [10],\u_logic/Tgfpw6 [15]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUTF1("(A*(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUTG0("(A*(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
//.LUTG1("(A*(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1010001000100010),
.INIT_LUTG1(16'b1010001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4420|u_logic/_al_u4424 (
.a({\u_logic/A2ciu6_lutinv ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u4358_o ,\u_logic/_al_u4358_o }),
.c({\u_logic/_al_u2858_o ,\u_logic/_al_u2858_o }),
.d({HRDATA[9],HRDATA[11]}),
.e({\u_logic/Xuiax6 ,\u_logic/Wyiax6 }),
.f({\u_logic/_al_u4420_o ,\u_logic/_al_u4424_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUT1("(A*(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1010001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4422 (
.a({\u_logic/A2ciu6_lutinv ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u4358_o ,\u_logic/_al_u4358_o }),
.c({\u_logic/_al_u2858_o ,\u_logic/_al_u2858_o }),
.d({HRDATA[10],HRDATA[10]}),
.mi({open_n138882,\u_logic/Wwiax6 }),
.fx({open_n138887,\u_logic/_al_u4422_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18715)
EG_PHY_MSLICE #(
//.LUT0("~(A*(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUT1("~(D*~((C*B))*~(A)+D*(C*B)*~(A)+~(D)*(C*B)*A+D*(C*B)*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111111101011101),
.INIT_LUT1(16'b0010101001111111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4426|u_logic/L4lax6_reg (
.a({\u_logic/_al_u4358_o ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u2858_o ,\u_logic/_al_u4358_o }),
.c({HRDATA[12],\u_logic/_al_u2858_o }),
.clk(clk_pad),
.d({\u_logic/W0jax6 ,\u_logic/L4lax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4426_o ,open_n138903}),
.q({open_n138907,\u_logic/L4lax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18715)
// ../rtl/topmodule/cortexm0ds_logic.v(18426)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~(C*~B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0100010111001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4432|u_logic/Wvgax6_reg (
.a({\u_logic/Bo1iu6 ,open_n138908}),
.b({\u_logic/D7xiu6_lutinv ,open_n138909}),
.c({\u_logic/F8dbx6 ,\u_logic/_al_u2756_o }),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({\u_logic/H7hbx6 ,\u_logic/HMASTER }),
.sr(RSTn_pad),
.f({\u_logic/_al_u4432_o ,\u_logic/n5754 }),
.q({open_n138925,\u_logic/Wvgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18426)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4435|u_logic/_al_u2984 (
.a({\u_logic/_al_u4433_o ,\u_logic/G2fiu6 }),
.b({\u_logic/_al_u4434_o ,\u_logic/I3fiu6 }),
.c({\u_logic/C0fiu6 ,\u_logic/Eyyax6 }),
.d({\u_logic/Yt4bx6 ,\u_logic/Oa5bx6 }),
.f({\u_logic/_al_u4435_o ,\u_logic/_al_u2984_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4436|u_logic/_al_u106 (
.a({\u_logic/Tzdiu6 ,open_n138950}),
.b({\u_logic/E1fiu6 ,open_n138951}),
.c({\u_logic/Slyax6 ,\u_logic/C1wpw6 }),
.d({\u_logic/Vkzax6 ,\u_logic/E1fiu6 }),
.f({\u_logic/_al_u4436_o ,\u_logic/n1112 }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4440|u_logic/_al_u3004 (
.a({\u_logic/_al_u4435_o ,\u_logic/Hqgiu6 }),
.b({\u_logic/_al_u4437_o ,\u_logic/Tzdiu6 }),
.c({\u_logic/_al_u4438_o ,\u_logic/Uizax6 }),
.d({\u_logic/_al_u4439_o ,\u_logic/Wgipw6 }),
.f({\u_logic/_al_u4440_o ,\u_logic/_al_u3004_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(0*~(~D*~(B*A))))"),
//.LUT1("(C*~(1*~(~D*~(B*A))))"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b0000000001110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4441 (
.a({\u_logic/_al_u4247_o ,\u_logic/_al_u4247_o }),
.b({\u_logic/_al_u4270_o ,\u_logic/_al_u4270_o }),
.c({\u_logic/_al_u4440_o ,\u_logic/_al_u4440_o }),
.d({\u_logic/_al_u4248_o ,\u_logic/_al_u4248_o }),
.mi({open_n139008,\u_logic/_al_u1942_o }),
.fx({open_n139013,\u_logic/N0viu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18181)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4442|u_logic/Q8aax6_reg (
.a({\u_logic/Kw1iu6_lutinv ,open_n139016}),
.b({\u_logic/Zt1iu6 ,\u_logic/_al_u3314_o }),
.c({\u_logic/Wxgbx6 ,\u_logic/_al_u3319_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Zvgbx6 ,\u_logic/_al_u3307_o }),
.mi({open_n139027,\u_logic/U64iu6 }),
.f({\u_logic/_al_u4442_o ,\u_logic/_al_u3320_o }),
.q({open_n139032,\u_logic/Q8aax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18181)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4448|u_logic/_al_u145 (
.c({\u_logic/Yp8iu6 ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u1586_o ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u4448_o ,\u_logic/Fq8iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~0*~C*~B*~A))"),
//.LUT1("(D*~(~1*~C*~B*~A))"),
.INIT_LUT0(16'b1111111000000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4449 (
.a({\u_logic/_al_u1714_o ,\u_logic/_al_u1714_o }),
.b({\u_logic/_al_u4448_o ,\u_logic/_al_u4448_o }),
.c({\u_logic/_al_u1715_o ,\u_logic/_al_u1715_o }),
.d({\u_logic/Fpnpw6 ,\u_logic/Fpnpw6 }),
.mi({open_n139069,\u_logic/P5vpw6 }),
.fx({open_n139074,\u_logic/Hemow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4451|u_logic/_al_u4450 (
.b({\u_logic/Wjyiu6 ,\u_logic/Wjyiu6 }),
.c({\u_logic/Rzciu6_lutinv ,\u_logic/Rzciu6_lutinv }),
.d({\u_logic/Hemow6_lutinv ,\u_logic/Hemow6_lutinv }),
.f({\u_logic/Q2eow6 ,\u_logic/_al_u4450_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~B*~D))"),
//.LUT1("(D*~(~C*~B*~A))"),
.INIT_LUT0(16'b1111000011000000),
.INIT_LUT1(16'b1111111000000000),
.MODE("LOGIC"))
\u_logic/_al_u4454|u_logic/_al_u4453 (
.a({\u_logic/_al_u4453_o ,open_n139099}),
.b({\u_logic/Pt2ju6 ,\u_logic/Vzupw6 }),
.c({\u_logic/_al_u670_o ,\u_logic/Xxupw6 }),
.d({\u_logic/Fpnpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u4454_o ,\u_logic/_al_u4453_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(~D*~(B*~(C*~A)))"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0000000001110011),
.MODE("LOGIC"))
\u_logic/_al_u4456|u_logic/_al_u3723 (
.a({\u_logic/Mg3ju6_lutinv ,open_n139120}),
.b({\u_logic/_al_u4452_o ,\u_logic/T1vpw6 }),
.c({\u_logic/_al_u4454_o ,\u_logic/Vgjpw6 }),
.d({\u_logic/_al_u4455_o ,\u_logic/Fq8iu6 }),
.f({\u_logic/_al_u4456_o ,\u_logic/_al_u3723_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*~A))"),
//.LUTF1("(~(D*B)*~(C*~A))"),
//.LUTG0("(~(D*B)*~(C*~A))"),
//.LUTG1("(~(D*B)*~(C*~A))"),
.INIT_LUTF0(16'b0010001110101111),
.INIT_LUTF1(16'b0010001110101111),
.INIT_LUTG0(16'b0010001110101111),
.INIT_LUTG1(16'b0010001110101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4457|u_logic/_al_u4464 (
.a({\u_logic/Ha3ju6_lutinv ,\u_logic/We3ju6_lutinv }),
.b({\u_logic/Q2eow6 ,\u_logic/Q2eow6 }),
.c({\u_logic/_al_u4454_o ,\u_logic/_al_u4454_o }),
.d({HRDATA[23],HRDATA[15]}),
.f({\u_logic/Mjnow6 ,\u_logic/Iimow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4458|u_logic/_al_u3812 (
.a({open_n139165,\u_logic/_al_u149_o }),
.b({open_n139166,\u_logic/F26bx6 }),
.c({\u_logic/S4kbx6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Qyniu6_lutinv ,\u_logic/Vgjpw6 }),
.f({\u_logic/_al_u4458_o ,\u_logic/Rk5ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(0*~(C*~(D*~A))))"),
//.LUT1("(~B*~(1*~(C*~(D*~A))))"),
.INIT_LUT0(16'b0011001100110011),
.INIT_LUT1(16'b0010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4459 (
.a({\u_logic/N0viu6 ,\u_logic/N0viu6 }),
.b({\u_logic/_al_u4456_o ,\u_logic/_al_u4456_o }),
.c({\u_logic/Mjnow6 ,\u_logic/Mjnow6 }),
.d({\u_logic/_al_u4450_o ,\u_logic/_al_u4450_o }),
.mi({open_n139203,\u_logic/_al_u4458_o }),
.fx({open_n139208,\u_logic/_al_u4459_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*C))"),
//.LUT1("(~(D*C)*~(B*~A))"),
.INIT_LUT0(16'b0000001000100010),
.INIT_LUT1(16'b0000101110111011),
.MODE("LOGIC"))
\u_logic/_al_u4460|u_logic/_al_u3481 (
.a({\u_logic/Pxriu6 ,\u_logic/_al_u2804_o }),
.b({\u_logic/_al_u4450_o ,\u_logic/_al_u1070_o }),
.c({\u_logic/Q2eow6 ,\u_logic/_al_u388_o }),
.d({HRDATA[7],\u_logic/Vzupw6 }),
.f({\u_logic/_al_u4460_o ,\u_logic/_al_u3481_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~A*~(~D*~C)))"),
//.LUTG0("(~B*~(~A*~(~D*~C)))"),
.INIT_LUTF0(16'b0010001000100011),
.INIT_LUTG0(16'b0010001000100011),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4463 (
.a({open_n139231,\u_logic/_al_u4461_o }),
.b({open_n139232,\u_logic/_al_u3673_o }),
.c({open_n139233,\u_logic/_al_u4462_o }),
.d({open_n139236,\u_logic/_al_u975_o }),
.f({open_n139254,\u_logic/Nhmow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~D*~(~C*~B)))"),
//.LUTF1("(~(D*B)*~(~C*~A))"),
//.LUTG0("(~A*~(~D*~(~C*~B)))"),
//.LUTG1("(~(D*B)*~(~C*~A))"),
.INIT_LUTF0(16'b0101010100000001),
.INIT_LUTF1(16'b0011001011111010),
.INIT_LUTG0(16'b0101010100000001),
.INIT_LUTG1(16'b0011001011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4465|u_logic/_al_u4462 (
.a({\u_logic/_al_u4455_o ,\u_logic/Aujpw6 }),
.b({\u_logic/S2ziu6_lutinv ,\u_logic/Ms5bx6 }),
.c({\u_logic/Ms5bx6 ,\u_logic/S4kbx6 }),
.d({\u_logic/Ufopw6 ,\u_logic/Xxupw6 }),
.f({\u_logic/Bimow6 ,\u_logic/_al_u4462_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~0*~(C*~(D*~A))))"),
//.LUT1("(B*~(~1*~(C*~(D*~A))))"),
.INIT_LUT0(16'b1000000011000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4466 (
.a({\u_logic/_al_u4297_o ,\u_logic/_al_u4297_o }),
.b({\u_logic/Nhmow6 ,\u_logic/Nhmow6 }),
.c({\u_logic/Iimow6 ,\u_logic/Iimow6 }),
.d({\u_logic/_al_u4450_o ,\u_logic/_al_u4450_o }),
.mi({open_n139296,\u_logic/Bimow6 }),
.fx({open_n139301,\u_logic/_al_u4466_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*B*A))"),
//.LUTF1("(C*~(~B*~D))"),
//.LUTG0("(C*~(D*B*A))"),
//.LUTG1("(C*~(~B*~D))"),
.INIT_LUTF0(16'b0111000011110000),
.INIT_LUTF1(16'b1111000011000000),
.INIT_LUTG0(16'b0111000011110000),
.INIT_LUTG1(16'b1111000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4468|u_logic/_al_u4618 (
.a({open_n139304,\u_logic/_al_u3920_o }),
.b({\u_logic/_al_u3922_o ,\u_logic/F93ju6_lutinv }),
.c({\u_logic/Tucow6_lutinv ,\u_logic/_al_u4617_o }),
.d({\u_logic/_al_u3919_o ,\u_logic/Tucow6_lutinv }),
.f({\u_logic/_al_u4468_o ,\u_logic/_al_u4618_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~B*~(C*A)))"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b1110110000000000),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u4469|u_logic/_al_u1441 (
.a({open_n139329,\u_logic/_al_u672_o }),
.b({\u_logic/Pthiu6 ,\u_logic/_al_u413_o }),
.c({\u_logic/Ydopw6 ,\u_logic/_al_u686_o }),
.d({\u_logic/T23ju6_lutinv ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u4469_o ,\u_logic/_al_u1441_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*A*~(~C*B))"),
//.LUTF1("(~(D*~C)*~(A)*~(B)+~(D*~C)*A*~(B)+~(~(D*~C))*A*B+~(D*~C)*A*B)"),
//.LUTG0("(D*A*~(~C*B))"),
//.LUTG1("(~(D*~C)*~(A)*~(B)+~(D*~C)*A*~(B)+~(~(D*~C))*A*B+~(D*~C)*A*B)"),
.INIT_LUTF0(16'b1010001000000000),
.INIT_LUTF1(16'b1011100010111011),
.INIT_LUTG0(16'b1010001000000000),
.INIT_LUTG1(16'b1011100010111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4470|u_logic/_al_u4483 (
.a({\u_logic/_al_u3921_o ,\u_logic/T1vpw6 }),
.b({\u_logic/Tucow6_lutinv ,\u_logic/Vzupw6 }),
.c({\u_logic/_al_u4469_o ,\u_logic/Xxupw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u4470_o ,\u_logic/_al_u4483_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~(B*~(0*~A)))"),
//.LUT1("(~D*~C*~(B*~(1*~A)))"),
.INIT_LUT0(16'b0000000000000011),
.INIT_LUT1(16'b0000000000000111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4471 (
.a({\u_logic/_al_u4459_o ,\u_logic/_al_u4459_o }),
.b({\u_logic/_al_u4466_o ,\u_logic/_al_u4466_o }),
.c({\u_logic/_al_u4468_o ,\u_logic/_al_u4468_o }),
.d({\u_logic/_al_u4470_o ,\u_logic/_al_u4470_o }),
.mi({open_n139386,\u_logic/Ms5bx6 }),
.fx({open_n139391,\u_logic/_al_u4471_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(~(D*B)*~(~C*A))"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(~(D*B)*~(~C*A))"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b0011000111110101),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b0011000111110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4476|u_logic/_al_u4555 (
.a({\u_logic/_al_u4450_o ,\u_logic/Ntuiu6 }),
.b({\u_logic/Q2eow6 ,\u_logic/_al_u4450_o }),
.c({\u_logic/W4siu6 ,\u_logic/Q2eow6 }),
.d({HRDATA[8],HRDATA[22]}),
.f({\u_logic/_al_u4476_o ,\u_logic/_al_u4555_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*C)*~(B*~A))"),
//.LUT1("(~(D*C)*~(B*~A))"),
.INIT_LUT0(16'b0000101110111011),
.INIT_LUT1(16'b0000101110111011),
.MODE("LOGIC"))
\u_logic/_al_u4478|u_logic/_al_u4531 (
.a({\u_logic/M1xiu6 ,\u_logic/Yzqiu6 }),
.b({\u_logic/_al_u4450_o ,\u_logic/_al_u4450_o }),
.c({\u_logic/Q2eow6 ,\u_logic/Q2eow6 }),
.d({HRDATA[0],HRDATA[4]}),
.f({\u_logic/_al_u4478_o ,\u_logic/_al_u4531_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u447|u_logic/_al_u495 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Uwzhu6 ,\u_logic/Svzhu6 }),
.f({\u_logic/Tgfpw6 [3],\u_logic/Tgfpw6 [7]}));
// ../rtl/topmodule/cortexm0ds_logic.v(19744)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(B)*~(C)+~D*B*~(C)+~(~D)*B*C+~D*B*C)"),
//.LUTF1("(B*~(C*D))"),
//.LUTG0("(~D*~(B)*~(C)+~D*B*~(C)+~(~D)*B*C+~D*B*C)"),
//.LUTG1("(B*~(C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011001111),
.INIT_LUTF1(16'b0000110011001100),
.INIT_LUTG0(16'b1100000011001111),
.INIT_LUTG1(16'b0000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4482|u_logic/F26bx6_reg (
.b({\u_logic/_al_u4481_o ,\u_logic/Gh0iu6_lutinv }),
.c({\u_logic/_al_u4454_o ,\u_logic/Uzaiu6 }),
.ce(\u_logic/Jzmiu6 ),
.clk(clk_pad),
.d({\u_logic/Eb4ju6_lutinv ,\u_logic/_al_u4593_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u4482_o ,open_n139480}),
.q({open_n139484,\u_logic/F26bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19744)
EG_PHY_MSLICE #(
//.LUT0("~((D*C)*~(A)*~(B)+(D*C)*A*~(B)+~((D*C))*A*B+(D*C)*A*B)"),
//.LUT1("~((~D*C)*~(A)*~(B)+(~D*C)*A*~(B)+~((~D*C))*A*B+(~D*C)*A*B)"),
.INIT_LUT0(16'b0100011101110111),
.INIT_LUT1(16'b0111011101000111),
.MODE("LOGIC"))
\u_logic/_al_u4485|u_logic/_al_u4687 (
.a({\u_logic/_al_u3922_o ,\u_logic/_al_u3946_o }),
.b({\u_logic/Tucow6_lutinv ,\u_logic/Tucow6_lutinv }),
.c({\u_logic/_al_u1586_o ,\u_logic/_al_u151_o }),
.d({\u_logic/Aujpw6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u4485_o ,\u_logic/Hlziu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~(D*B)*~(~C*A))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~(D*B)*~(~C*A))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0011000111110101),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0011000111110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4490|u_logic/_al_u3649 (
.a({\u_logic/_al_u4450_o ,open_n139505}),
.b({\u_logic/Q2eow6 ,open_n139506}),
.c({\u_logic/Ibsiu6 ,\u_logic/Pexpw6 }),
.d({HRDATA[9],\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u4490_o ,\u_logic/_al_u3649_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~C*~B)*~(~D*~A))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111110010101000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4492|u_logic/_al_u4591 (
.a({open_n139531,\u_logic/Pkdow6 }),
.b({open_n139532,\u_logic/_al_u4494_o }),
.c({\u_logic/Xv6ow6 ,\u_logic/_al_u4583_o }),
.d({\u_logic/Vacow6 ,\u_logic/Xv6ow6 }),
.f({\u_logic/_al_u4492_o ,\u_logic/_al_u4591_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17324)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~A*~(D*C))"),
//.LUTF1("(~(D*B)*~(~C*A))"),
//.LUTG0("~(B*~A*~(D*C))"),
//.LUTG1("(~(D*B)*~(~C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111101110111011),
.INIT_LUTF1(16'b0011000111110101),
.INIT_LUTG0(16'b1111101110111011),
.INIT_LUTG1(16'b0011000111110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4493|u_logic/I1lpw6_reg (
.a({\u_logic/_al_u4450_o ,\u_logic/_al_u2602_o }),
.b({\u_logic/Q2eow6 ,\u_logic/_al_u2604_o }),
.c({\u_logic/Wfviu6 ,\u_logic/Ql8iu6 }),
.clk(clk_pad),
.d({HRDATA[25],\u_logic/vis_ipsr_o[0] }),
.f({\u_logic/_al_u4493_o ,open_n139571}),
.q({open_n139575,\u_logic/I1lpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17324)
// ../rtl/topmodule/cortexm0ds_logic.v(17242)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~C*~(0*~(~B*A)))"),
//.LUTF1("(C*~(0*~(~A*~(D*B))))"),
//.LUTG0("~(~D*~C*~(1*~(~B*A)))"),
//.LUTG1("(C*~(1*~(~A*~(D*B))))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1111111111111101),
.INIT_LUTG1(16'b0001000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4494|u_logic/Qijpw6_reg (
.a({\u_logic/_al_u3707_o ,\u_logic/_al_u4691_o }),
.b({\u_logic/_al_u3704_o ,\u_logic/_al_u4693_o }),
.c({\u_logic/_al_u4493_o ,\u_logic/_al_u4785_o }),
.ce(\u_logic/Zf8iu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u3673_o ,\u_logic/_al_u4786_o }),
.e({\u_logic/_al_u4454_o ,\u_logic/Yi8iu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u4494_o ,open_n139590}),
.q({open_n139594,\u_logic/vis_apsr_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(17242)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(~C*A))"),
//.LUT1("(~(D*C)*~(B*~A))"),
.INIT_LUT0(16'b0011000111110101),
.INIT_LUT1(16'b0000101110111011),
.MODE("LOGIC"))
\u_logic/_al_u4495|u_logic/_al_u4512 (
.a({\u_logic/Ovpiu6 ,\u_logic/_al_u4450_o }),
.b({\u_logic/_al_u4450_o ,\u_logic/Q2eow6 }),
.c({\u_logic/Q2eow6 ,\u_logic/Tmqiu6 }),
.d({HRDATA[1],HRDATA[3]}),
.f({\u_logic/_al_u4495_o ,\u_logic/_al_u4512_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17247)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u449|u_logic/Kkjpw6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/Dmqow6 }),
.b({\u_logic/Fnqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r0_o[11] ,\u_logic/vis_r2_o[11] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[11] ,\u_logic/vis_r7_o[11] }),
.mi({open_n139625,\u_logic/P4liu6 }),
.f({\u_logic/_al_u449_o ,\u_logic/_al_u448_o }),
.q({open_n139630,\u_logic/vis_r11_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(17247)
EG_PHY_LSLICE #(
//.LUTF0("~(C*D)"),
//.LUTF1("(~(D*C)*~(B*~A))"),
//.LUTG0("~(C*D)"),
//.LUTG1("(~(D*C)*~(B*~A))"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b0000101110111011),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b0000101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4500|u_logic/_al_u2717 (
.a({\u_logic/Jaqiu6 ,open_n139631}),
.b({\u_logic/_al_u4450_o ,open_n139632}),
.c({\u_logic/Q2eow6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({HRDATA[2],\u_logic/W7cow6 }),
.f({\u_logic/_al_u4500_o ,\u_logic/n3472 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUT1("(D*~(1*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100101000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4501 (
.a({\u_logic/_al_u3684_o ,\u_logic/_al_u3684_o }),
.b({\u_logic/Yt3ju6 ,\u_logic/Yt3ju6 }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/_al_u4500_o ,\u_logic/_al_u4500_o }),
.mi({open_n139669,\u_logic/_al_u4454_o }),
.fx({open_n139674,\u_logic/Gkcow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~A*~(~D*~C))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(B*~A*~(~D*~C))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0100010001000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0100010001000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4502|u_logic/_al_u4711 (
.a({open_n139677,\u_logic/_al_u4693_o }),
.b({open_n139678,\u_logic/_al_u4710_o }),
.c({\u_logic/Gkcow6 ,\u_logic/Hlziu6 }),
.d({\u_logic/Xbcow6_lutinv ,\u_logic/G6cow6 }),
.f({\u_logic/_al_u4502_o ,\u_logic/_al_u4711_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(~C*A))"),
//.LUTF1("(~(D*C)*~(B*~A))"),
//.LUTG0("(~(D*B)*~(~C*A))"),
//.LUTG1("(~(D*C)*~(B*~A))"),
.INIT_LUTF0(16'b0011000111110101),
.INIT_LUTF1(16'b0000101110111011),
.INIT_LUTG0(16'b0011000111110101),
.INIT_LUTG1(16'b0000101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4503|u_logic/_al_u4529 (
.a({\u_logic/U1uiu6 ,\u_logic/_al_u4450_o }),
.b({\u_logic/_al_u4450_o ,\u_logic/Q2eow6 }),
.c({\u_logic/Q2eow6 ,\u_logic/Bguiu6 }),
.d({HRDATA[18],HRDATA[20]}),
.f({\u_logic/_al_u4503_o ,\u_logic/_al_u4529_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUT1("(D*~(1*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100101000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4504 (
.a({\u_logic/_al_u3879_o ,\u_logic/_al_u3879_o }),
.b({\u_logic/R04ju6 ,\u_logic/R04ju6 }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/_al_u4503_o ,\u_logic/_al_u4503_o }),
.mi({open_n139739,\u_logic/_al_u4454_o }),
.fx({open_n139744,\u_logic/Wlcow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)))"),
//.LUTF1("(D*~(0*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG0("(D*~(1*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)))"),
//.LUTG1("(D*~(1*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1010110000000000),
.INIT_LUTG1(16'b1100101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4506|u_logic/_al_u4548 (
.a({\u_logic/_al_u3868_o ,\u_logic/_al_u3706_o }),
.b({\u_logic/Id4ju6 ,\u_logic/F14ju6 }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/_al_u4505_o ,\u_logic/_al_u4547_o }),
.e({\u_logic/_al_u4454_o ,\u_logic/_al_u4454_o }),
.f({\u_logic/Plcow6 ,\u_logic/Alziu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~0*~C)*~(~D*~B))"),
//.LUT1("(~A*~(~1*~C)*~(~D*~B))"),
.INIT_LUT0(16'b0101000001000000),
.INIT_LUT1(16'b0101010101000100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4507 (
.a({\u_logic/_al_u4502_o ,\u_logic/_al_u4502_o }),
.b({\u_logic/Eccow6 ,\u_logic/Eccow6 }),
.c({\u_logic/Vacow6 ,\u_logic/Vacow6 }),
.d({\u_logic/Wlcow6 ,\u_logic/Wlcow6 }),
.mi({open_n139781,\u_logic/Plcow6 }),
.fx({open_n139786,\u_logic/_al_u4507_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(0*~(~A*~(D*B))))"),
//.LUT1("(C*~(1*~(~A*~(D*B))))"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b0001000001010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4509 (
.a({\u_logic/_al_u3681_o ,\u_logic/_al_u3681_o }),
.b({\u_logic/_al_u3676_o ,\u_logic/_al_u3676_o }),
.c({\u_logic/_al_u4508_o ,\u_logic/_al_u4508_o }),
.d({\u_logic/_al_u3673_o ,\u_logic/_al_u3673_o }),
.mi({open_n139801,\u_logic/_al_u4454_o }),
.fx({open_n139806,\u_logic/Ukcow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19787)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u450|u_logic/Zx7bx6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/_al_u375_o }),
.b({\u_logic/Xpqow6 ,\u_logic/_al_u376_o }),
.c({\u_logic/vis_r3_o[11] ,\u_logic/_al_u377_o }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[11] ,\u_logic/_al_u378_o }),
.mi({open_n139819,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u450_o ,\u_logic/Wb0iu6 }),
.q({open_n139824,\u_logic/vis_r3_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19787)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(~C*A))"),
//.LUTG0("(~1*~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(~C*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0011000111110101),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0011000111110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4515|u_logic/_al_u3807 (
.a({\u_logic/_al_u4450_o ,\u_logic/Pk4ju6 }),
.b({\u_logic/Q2eow6 ,\u_logic/Hlliu6 }),
.c({\u_logic/Uosiu6 ,\u_logic/_al_u3723_o }),
.d({HRDATA[11],\u_logic/vis_ipsr_o[3] }),
.e({open_n139827,\u_logic/mult0_0_0_3 }),
.f({\u_logic/_al_u4515_o ,\u_logic/_al_u3807_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(~(D*B)*~(~C*A))"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(~(D*B)*~(~C*A))"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0011000111110101),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0011000111110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4517|u_logic/_al_u1357 (
.a({\u_logic/_al_u4450_o ,open_n139848}),
.b({\u_logic/Q2eow6 ,\u_logic/I8lax6 }),
.c({\u_logic/U8uiu6 ,\u_logic/Wvgax6 }),
.d({HRDATA[19],\u_logic/Mnxow6 }),
.f({\u_logic/_al_u4517_o ,\u_logic/_al_u1357_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18861)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u451|u_logic/O4sax6_reg (
.a({\u_logic/Mnqow6 ,\u_logic/_al_u472_o }),
.b({\u_logic/Voqow6 ,\u_logic/_al_u473_o }),
.c({\u_logic/vis_r1_o[11] ,\u_logic/_al_u474_o }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[11] ,\u_logic/_al_u475_o }),
.mi({open_n139883,\u_logic/Admiu6 }),
.f({\u_logic/_al_u451_o ,\u_logic/L20iu6 }),
.q({open_n139888,\u_logic/vis_r8_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(18861)
// ../rtl/topmodule/cortexm0ds_logic.v(18826)
EG_PHY_MSLICE #(
//.LUT0("~(C*B*~D)"),
//.LUT1("(B*~A*~(~D*~C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100111111),
.INIT_LUT1(16'b0100010001000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4522|u_logic/Z6qax6_reg (
.a({\u_logic/_al_u4471_o ,open_n139889}),
.b({\u_logic/_al_u4519_o ,\u_logic/_al_u4635_o }),
.c({\u_logic/Yfcow6 ,\u_logic/Dkkiu6 }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/_al_u4485_o ,\u_logic/_al_u4629_o }),
.f({\u_logic/_al_u4522_o ,\u_logic/Jgkiu6 }),
.q({open_n139906,\u_logic/vis_r2_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(18826)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~(D*C)*~(~B*A))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~(D*C)*~(~B*A))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000110111011101),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000110111011101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4525|u_logic/_al_u2647 (
.a({\u_logic/Qtfow6_lutinv ,open_n139907}),
.b({\u_logic/_al_u3876_o ,open_n139908}),
.c({\u_logic/Q2eow6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({HRDATA[12],\u_logic/_al_u2567_o }),
.f({\u_logic/I3gow6 ,\u_logic/_al_u2647_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~C*~(D*~(0*~B))))"),
//.LUTF1("(D*~(~C*B))"),
//.LUTG0("(A*~(~C*~(D*~(1*~B))))"),
//.LUTG1("(D*~(~C*B))"),
.INIT_LUTF0(16'b1010101010100000),
.INIT_LUTF1(16'b1111001100000000),
.INIT_LUTG0(16'b1010100010100000),
.INIT_LUTG1(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4527|u_logic/_al_u4644 (
.a({open_n139933,\u_logic/_al_u4643_o }),
.b({\u_logic/Dyeow6_lutinv ,\u_logic/U2tiu6 }),
.c({\u_logic/_al_u3887_o ,\u_logic/Ycliu6 }),
.d({\u_logic/I3gow6 ,\u_logic/_al_u4540_o }),
.e({open_n139936,\u_logic/_al_u4450_o }),
.f({\u_logic/_al_u4527_o ,\u_logic/_al_u4644_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18592)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(D*~(C*B)))"),
//.LUTF1("(B*~(C*~(D*~(0*A))))"),
//.LUTG0("~(~A*~(D*~(C*B)))"),
//.LUTG1("(B*~(C*~(D*~(1*A))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111110101010),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1011111110101010),
.INIT_LUTG1(16'b0100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4528|u_logic/Thiax6_reg (
.a({\u_logic/_al_u4382_o ,\u_logic/_al_u1070_o }),
.b({\u_logic/_al_u4527_o ,\u_logic/Scbiu6 }),
.c({\u_logic/_al_u4450_o ,\u_logic/Df4iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u4390_o ,\u_logic/Thiax6 }),
.e({\u_logic/_al_u1942_o ,open_n139958}),
.sr(RSTn_pad),
.f({\u_logic/_al_u4528_o ,open_n139973}),
.q({open_n139977,\u_logic/Thiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18592)
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)))"),
//.LUT1("(D*~(1*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1010110000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4530 (
.a({\u_logic/_al_u3694_o ,\u_logic/_al_u3694_o }),
.b({\u_logic/_al_u3930_o ,\u_logic/_al_u3930_o }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/_al_u4529_o ,\u_logic/_al_u4529_o }),
.mi({open_n139990,\u_logic/_al_u4454_o }),
.fx({open_n139995,\u_logic/K1cow6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)))"),
//.LUT1("(D*~(1*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1010110000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4532 (
.a({\u_logic/_al_u3890_o ,\u_logic/_al_u3890_o }),
.b({\u_logic/_al_u3911_o ,\u_logic/_al_u3911_o }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/_al_u4531_o ,\u_logic/_al_u4531_o }),
.mi({open_n140010,\u_logic/_al_u4454_o }),
.fx({open_n140015,\u_logic/B0cow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~D))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(B*~(C*~D))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b1100110000001100),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1100110000001100),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4535|u_logic/_al_u4479 (
.b({\u_logic/_al_u4534_o ,\u_logic/_al_u4478_o }),
.c({\u_logic/_al_u4454_o ,\u_logic/_al_u4454_o }),
.d({\u_logic/_al_u3702_o ,\u_logic/_al_u3913_o }),
.f({\u_logic/P0cow6 ,\u_logic/_al_u4479_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~0*~C)*~(~D*~A))"),
//.LUTF1("(D*~(~C*~B))"),
//.LUTG0("(B*~(~1*~C)*~(~D*~A))"),
//.LUTG1("(D*~(~C*~B))"),
.INIT_LUTF0(16'b1100000010000000),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b1100110010001000),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4536|u_logic/_al_u4486 (
.a({open_n140044,\u_logic/_al_u4473_o }),
.b({\u_logic/P0cow6 ,\u_logic/Ogdow6 }),
.c({\u_logic/_al_u4485_o ,\u_logic/_al_u4482_o }),
.d({\u_logic/_al_u4533_o ,\u_logic/Eccow6 }),
.e({open_n140047,\u_logic/_al_u4485_o }),
.f({\u_logic/_al_u4536_o ,\u_logic/_al_u4486_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*~A*~(~D*~B))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0101000001000000),
.MODE("LOGIC"))
\u_logic/_al_u4537|u_logic/_al_u543 (
.a({\u_logic/_al_u4471_o ,open_n140068}),
.b({\u_logic/_al_u4528_o ,open_n140069}),
.c({\u_logic/_al_u4536_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/Vacow6 ,\u_logic/Tzzhu6 }),
.f({\u_logic/_al_u4537_o ,\u_logic/Tgfpw6 [22]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*~A))"),
//.LUTF1("(~(D*C)*~(~B*A))"),
//.LUTG0("(~(D*B)*~(C*~A))"),
//.LUTG1("(~(D*C)*~(~B*A))"),
.INIT_LUTF0(16'b0010001110101111),
.INIT_LUTF1(16'b0000110111011101),
.INIT_LUTG0(16'b0010001110101111),
.INIT_LUTG1(16'b0000110111011101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4539|u_logic/_al_u4472 (
.a({\u_logic/Qtfow6_lutinv ,\u_logic/Uk3ju6_lutinv }),
.b({\u_logic/Uc4ju6 ,\u_logic/Q2eow6 }),
.c({\u_logic/Q2eow6 ,\u_logic/_al_u4454_o }),
.d({HRDATA[13],HRDATA[16]}),
.f({\u_logic/Bxeow6 ,\u_logic/J9eow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u453|u_logic/_al_u477 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Z20iu6 ,\u_logic/L20iu6 }),
.f({\u_logic/Tgfpw6 [11],\u_logic/Tgfpw6 [13]}));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*~(C*A))"),
//.LUTF1("(D*~(~C*B))"),
//.LUTG0("(~D*~B*~(C*A))"),
//.LUTG1("(D*~(~C*B))"),
.INIT_LUTF0(16'b0000000000010011),
.INIT_LUTF1(16'b1111001100000000),
.INIT_LUTG0(16'b0000000000010011),
.INIT_LUTG1(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4540|u_logic/_al_u3842 (
.a({open_n140142,\u_logic/I55ju6 }),
.b({\u_logic/Dyeow6_lutinv ,\u_logic/_al_u3723_o }),
.c({\u_logic/_al_u3881_o ,\u_logic/vis_apsr_o[3] }),
.d({\u_logic/Bxeow6 ,\u_logic/n159 [13]}),
.f({\u_logic/_al_u4540_o ,\u_logic/N45ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4541|u_logic/_al_u2600 (
.a({open_n140167,\u_logic/Ql8iu6 }),
.b({\u_logic/_al_u4540_o ,\u_logic/_al_u2473_o }),
.c({\u_logic/_al_u4450_o ,\u_logic/Lm1iu6 }),
.d({\u_logic/U2tiu6 ,\u_logic/vis_apsr_o[3] }),
.f({\u_logic/_al_u4541_o ,\u_logic/_al_u2600_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18636)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(D*B)*~(~C*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(D*B)*~(~C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0011000111110101),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0011000111110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4543|u_logic/U8jax6_reg (
.a({\u_logic/_al_u4450_o ,open_n140192}),
.b({\u_logic/Q2eow6 ,open_n140193}),
.c({\u_logic/U6wiu6 ,\u_logic/L18iu6 }),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({HRDATA[29],\u_logic/_al_u2713_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u4543_o ,\u_logic/W7cow6 }),
.q({open_n140213,\u_logic/U8jax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18636)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
.INIT_LUT0(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u4544 (
.c({open_n140218,\u_logic/_al_u4543_o }),
.d({open_n140221,\u_logic/_al_u4542_o }),
.f({open_n140235,\u_logic/Rjziu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~(D*B)*~(~C*A))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~(D*B)*~(~C*A))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0011000111110101),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0011000111110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4545|u_logic/_al_u3644 (
.a({\u_logic/_al_u4450_o ,open_n140241}),
.b({\u_logic/Q2eow6 ,open_n140242}),
.c({\u_logic/Eariu6 ,\u_logic/Jvvpw6 }),
.d({HRDATA[5],\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u4545_o ,\u_logic/_al_u3644_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u454|u_logic/_al_u457 (
.a({\u_logic/Cpqow6 ,\u_logic/Dmqow6 }),
.b({\u_logic/Voqow6 ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r1_o[4] ,\u_logic/vis_r2_o[4] }),
.d({\u_logic/vis_r0_o[4] ,\u_logic/vis_r5_o[4] }),
.f({\u_logic/_al_u454_o ,\u_logic/_al_u457_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(B*~(~C*~D))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(B*~(~C*~D))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1100110011000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1100110011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4550|u_logic/_al_u429 (
.b({\u_logic/Nycow6 ,open_n140293}),
.c({\u_logic/_al_u4485_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/Rjziu6 ,\u_logic/N30iu6 }),
.f({\u_logic/_al_u4550_o ,\u_logic/Tgfpw6 [0]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(0*C)*~(D*~A))"),
//.LUTF1("(C*~A*~(~D*~B))"),
//.LUTG0("(B*~(1*C)*~(D*~A))"),
//.LUTG1("(C*~A*~(~D*~B))"),
.INIT_LUTF0(16'b1000100011001100),
.INIT_LUTF1(16'b0101000001000000),
.INIT_LUTG0(16'b0000100000001100),
.INIT_LUTG1(16'b0101000001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4551|u_logic/_al_u3831 (
.a({\u_logic/_al_u4471_o ,\u_logic/_al_u1394_o }),
.b({\u_logic/_al_u4541_o ,\u_logic/_al_u3830_o }),
.c({\u_logic/_al_u4550_o ,\u_logic/By4ju6 }),
.d({\u_logic/Vacow6 ,\u_logic/_al_u3725_o }),
.e({open_n140320,\u_logic/Nxkbx6 [31]}),
.f({\u_logic/_al_u4551_o ,\u_logic/_al_u3831_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(0*D)*~(C*~A))"),
//.LUT1("(~B*~(1*D)*~(C*~A))"),
.INIT_LUT0(16'b0010001100100011),
.INIT_LUT1(16'b0000000000100011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4554 (
.a({\u_logic/_al_u4323_o ,\u_logic/_al_u4323_o }),
.b({\u_logic/_al_u4553_o ,\u_logic/_al_u4553_o }),
.c({\u_logic/_al_u4450_o ,\u_logic/_al_u4450_o }),
.d({\u_logic/Q2eow6 ,\u_logic/Q2eow6 }),
.mi({open_n140353,HRDATA[14]}),
.fx({open_n140358,\u_logic/_al_u4554_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)))"),
//.LUT1("(D*~(1*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1010110000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4556 (
.a({\u_logic/_al_u3680_o ,\u_logic/_al_u3680_o }),
.b({\u_logic/R04ju6 ,\u_logic/R04ju6 }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/_al_u4555_o ,\u_logic/_al_u4555_o }),
.mi({open_n140373,\u_logic/_al_u4454_o }),
.fx({open_n140378,\u_logic/G6cow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17986)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~B*~D)"),
//.LUT1("(~(D*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111111100),
.INIT_LUT1(16'b0000101110111011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4557|u_logic/Uh2qw6_reg (
.a({\u_logic/Kkriu6 ,open_n140381}),
.b({\u_logic/_al_u4450_o ,\u_logic/_al_u2657_o }),
.c({\u_logic/Q2eow6 ,\u_logic/Ydopw6 }),
.clk(clk_pad),
.d({HRDATA[6],\u_logic/LOCKUP }),
.sr(RSTn_pad),
.f({\u_logic/_al_u4557_o ,open_n140395}),
.q({open_n140399,\u_logic/Uh2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17986)
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)))"),
//.LUT1("(D*~(1*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1010110000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4558 (
.a({\u_logic/_al_u3868_o ,\u_logic/_al_u3868_o }),
.b({\u_logic/Yt3ju6 ,\u_logic/Yt3ju6 }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/_al_u4557_o ,\u_logic/_al_u4557_o }),
.mi({open_n140412,\u_logic/_al_u4454_o }),
.fx({open_n140417,\u_logic/_al_u4558_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u455|u_logic/_al_u456 (
.a({\u_logic/Kmqow6 ,\u_logic/Xpqow6 }),
.b({\u_logic/Mnqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r4_o[4] ,\u_logic/vis_r3_o[4] }),
.d({\u_logic/vis_r6_o[4] ,\u_logic/vis_r7_o[4] }),
.f({\u_logic/_al_u455_o ,\u_logic/Iv1pw6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(B*~(~C*D))"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(B*~(~C*D))"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100000011001100),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4560|u_logic/_al_u3957 (
.a({open_n140444,\u_logic/_al_u3904_o }),
.b({\u_logic/_al_u3673_o ,\u_logic/Ha3ju6_lutinv }),
.c({\u_logic/Df3ju6 ,\u_logic/_al_u3915_o }),
.d({\u_logic/_al_u3675_o ,\u_logic/F93ju6_lutinv }),
.f({\u_logic/_al_u4560_o ,\u_logic/_al_u3957_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(0*B)*~(D*A))"),
//.LUTF1("(~(D*C)*~(B*~A))"),
//.LUTG0("(C*~(1*B)*~(D*A))"),
//.LUTG1("(~(D*C)*~(B*~A))"),
.INIT_LUTF0(16'b0101000011110000),
.INIT_LUTF1(16'b0000101110111011),
.INIT_LUTG0(16'b0001000000110000),
.INIT_LUTG1(16'b0000101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4561|u_logic/_al_u2807 (
.a({\u_logic/Bewiu6 ,\u_logic/Ok8iu6 }),
.b({\u_logic/_al_u4450_o ,\u_logic/Ql8iu6 }),
.c({\u_logic/Q2eow6 ,\u_logic/Xfliu6 }),
.d({HRDATA[30],\u_logic/vis_pc_o[0] }),
.e({open_n140471,\u_logic/vis_ipsr_o[1] }),
.f({\u_logic/_al_u4561_o ,\u_logic/_al_u2807_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~0*~C)*~(~D*~A))"),
//.LUT1("(B*~(~1*~C)*~(~D*~A))"),
.INIT_LUT0(16'b1100000010000000),
.INIT_LUT1(16'b1100110010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4563 (
.a({\u_logic/_al_u4554_o ,\u_logic/_al_u4554_o }),
.b({\u_logic/_al_u4559_o ,\u_logic/_al_u4559_o }),
.c({\u_logic/_al_u4562_o ,\u_logic/_al_u4562_o }),
.d({\u_logic/Vacow6 ,\u_logic/Vacow6 }),
.mi({open_n140504,\u_logic/_al_u4485_o }),
.fx({open_n140509,\u_logic/_al_u4563_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D*~B)))"),
//.LUTF1("(~B*~(C*~(D*~A)))"),
//.LUTG0("(~A*~(C*~(D*~B)))"),
//.LUTG1("(~B*~(C*~(D*~A)))"),
.INIT_LUTF0(16'b0001010100000101),
.INIT_LUTF1(16'b0001001100000011),
.INIT_LUTG0(16'b0001010100000101),
.INIT_LUTG1(16'b0001001100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4566|u_logic/_al_u4587 (
.a({\u_logic/Mg3ju6_lutinv ,\u_logic/_al_u4583_o }),
.b({\u_logic/_al_u4485_o ,\u_logic/Mg3ju6_lutinv }),
.c({\u_logic/_al_u4452_o ,\u_logic/_al_u4452_o }),
.d({\u_logic/_al_u4454_o ,\u_logic/_al_u4454_o }),
.f({\u_logic/_al_u4566_o ,\u_logic/_al_u4587_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4570|u_logic/_al_u4571 (
.b({\u_logic/_al_u3946_o ,\u_logic/R3how6_lutinv }),
.c({\u_logic/_al_u3943_o ,\u_logic/Tucow6_lutinv }),
.d({\u_logic/_al_u3919_o ,\u_logic/_al_u3922_o }),
.f({\u_logic/_al_u4570_o ,\u_logic/_al_u4571_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(~D*~B)))"),
//.LUTF1("~((D*A)*~(B)*~(C)+(D*A)*B*~(C)+~((D*A))*B*C+(D*A)*B*C)"),
//.LUTG0("(A*~(C*~(~D*~B)))"),
//.LUTG1("~((D*A)*~(B)*~(C)+(D*A)*B*~(C)+~((D*A))*B*C+(D*A)*B*C)"),
.INIT_LUTF0(16'b0000101000101010),
.INIT_LUTF1(16'b0011010100111111),
.INIT_LUTG0(16'b0000101000101010),
.INIT_LUTG1(16'b0011010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4572|u_logic/_al_u2296 (
.a({\u_logic/_al_u151_o ,\u_logic/_al_u2295_o }),
.b({\u_logic/T23ju6_lutinv ,\u_logic/_al_u975_o }),
.c({\u_logic/Vzupw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/Ydopw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/Xfmow6 ,\u_logic/_al_u2296_o }));
EG_PHY_MSLICE #(
//.LUT0("~(D*~((~C*A))*~(B)+D*(~C*A)*~(B)+~(D)*(~C*A)*B+D*(~C*A)*B)"),
//.LUT1("~(~D*~((~B*A))*~(C)+~D*(~B*A)*~(C)+~(~D)*(~B*A)*C+~D*(~B*A)*C)"),
.INIT_LUT0(16'b1100010011110111),
.INIT_LUT1(16'b1101111111010000),
.MODE("LOGIC"))
\u_logic/_al_u4573|u_logic/_al_u4688 (
.a({\u_logic/_al_u4570_o ,\u_logic/_al_u3922_o }),
.b({\u_logic/_al_u4571_o ,\u_logic/Tucow6_lutinv }),
.c({\u_logic/Tucow6_lutinv ,\u_logic/Df3ju6 }),
.d({\u_logic/Xfmow6 ,\u_logic/Vo3ju6_lutinv }),
.f({\u_logic/_al_u4573_o ,\u_logic/_al_u4688_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C*B))"),
//.LUT1("(A*~(~D*~C*~B))"),
.INIT_LUT0(16'b0010101010101010),
.INIT_LUT1(16'b1010101010101000),
.MODE("LOGIC"))
\u_logic/_al_u4576|u_logic/_al_u1631 (
.a({\u_logic/B4mow6_lutinv ,\u_logic/_al_u1474_o }),
.b({\u_logic/_al_u4453_o ,\u_logic/_al_u1630_o }),
.c({\u_logic/Jf6ju6 ,\u_logic/_al_u690_o }),
.d({\u_logic/Ms5bx6 ,\u_logic/Vgjpw6 }),
.f({\u_logic/_al_u4576_o ,\u_logic/_al_u1631_o }));
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)"),
//.LUT1("(~D*~(C*~B))"),
.INIT_LUT0(16'b0000001111001111),
.INIT_LUT1(16'b0000000011001111),
.MODE("LOGIC"))
\u_logic/_al_u4578|u_logic/_al_u4474 (
.b({\u_logic/Tucow6_lutinv ,\u_logic/Tucow6_lutinv }),
.c({\u_logic/Vo3ju6_lutinv ,\u_logic/Vo3ju6_lutinv }),
.d({\u_logic/_al_u4571_o ,\u_logic/_al_u3946_o }),
.f({\u_logic/Kldow6 ,\u_logic/Vacow6 }));
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(D)*~(C)+~B*D*~(C)+~(~B)*D*C+~B*D*C)"),
//.LUTF1("(B*~A*~(D*~C))"),
//.LUTG0("~(~B*~(D)*~(C)+~B*D*~(C)+~(~B)*D*C+~B*D*C)"),
//.LUTG1("(B*~A*~(D*~C))"),
.INIT_LUTF0(16'b0000110011111100),
.INIT_LUTF1(16'b0100000001000100),
.INIT_LUTG0(16'b0000110011111100),
.INIT_LUTG1(16'b0100000001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4579|u_logic/_al_u4575 (
.a({\u_logic/Tucow6_lutinv ,open_n140648}),
.b({\u_logic/N98iu6_lutinv ,\u_logic/R3vpw6 }),
.c({\u_logic/Yp8iu6 ,\u_logic/Ufopw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/T23ju6_lutinv }),
.f({\u_logic/_al_u4579_o ,\u_logic/B4mow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(~C*~B)*~(~D*A))"),
//.LUT1("(~(~D*~B)*~(~C*~A))"),
.INIT_LUT0(16'b1111110001010100),
.INIT_LUT1(16'b1111101011001000),
.MODE("LOGIC"))
\u_logic/_al_u4581|u_logic/_al_u4701 (
.a({\u_logic/Kldow6 ,\u_logic/Xbcow6_lutinv }),
.b({\u_logic/_al_u4580_o ,\u_logic/Hlziu6 }),
.c({\u_logic/Wlcow6 ,\u_logic/Wlcow6 }),
.d({\u_logic/Gkcow6 ,\u_logic/Plcow6 }),
.f({\u_logic/_al_u4581_o ,\u_logic/Ejcow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~(B*~(0*~A)))"),
//.LUTF1("~((D*~C)*~(A)*~(B)+(D*~C)*A*~(B)+~((D*~C))*A*B+(D*~C)*A*B)"),
//.LUTG0("(~D*~C*~(B*~(1*~A)))"),
//.LUTG1("~((D*~C)*~(A)*~(B)+(D*~C)*A*~(B)+~((D*~C))*A*B+(D*~C)*A*B)"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b0111010001110111),
.INIT_LUTG0(16'b0000000000000111),
.INIT_LUTG1(16'b0111010001110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4583|u_logic/_al_u4693 (
.a({\u_logic/_al_u3943_o ,\u_logic/_al_u4459_o }),
.b({\u_logic/Tucow6_lutinv ,\u_logic/_al_u4466_o }),
.c({\u_logic/_al_u4582_o ,\u_logic/_al_u4470_o }),
.d({\u_logic/Ms5bx6 ,\u_logic/_al_u4692_o }),
.e({open_n140695,\u_logic/Ms5bx6 }),
.f({\u_logic/_al_u4583_o ,\u_logic/_al_u4693_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~B*~A*~(~D*~C))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0001000100010000),
.MODE("LOGIC"))
\u_logic/_al_u4588|u_logic/_al_u362 (
.a({\u_logic/_al_u4586_o ,open_n140716}),
.b({\u_logic/_al_u4587_o ,open_n140717}),
.c({\u_logic/_al_u4580_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u4461_o ,\u_logic/W40iu6 }),
.f({\u_logic/_al_u4588_o ,\u_logic/Mifpw6 [5]}));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(D*~(~C*~B))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"))
\u_logic/_al_u4589|u_logic/_al_u513 (
.b({\u_logic/H78ow6 ,open_n140740}),
.c({\u_logic/Kldow6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u4588_o ,\u_logic/O00iu6 }),
.f({\u_logic/_al_u4589_o ,\u_logic/Tgfpw6 [1]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18821)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u458|u_logic/Bxpax6_reg (
.a({\u_logic/_al_u454_o ,\u_logic/_al_u339_o }),
.b({\u_logic/_al_u455_o ,\u_logic/_al_u340_o }),
.c({\u_logic/Iv1pw6 ,\u_logic/_al_u341_o }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/_al_u457_o ,\u_logic/_al_u342_o }),
.mi({open_n140764,\u_logic/Ef8iu6 }),
.f({\u_logic/Nwzhu6 ,\u_logic/D50iu6 }),
.q({open_n140780,\u_logic/vis_r2_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18821)
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*B)*~(~C*~A))"),
//.LUTF1("(B*~(~C*~D))"),
//.LUTG0("(~(~D*B)*~(~C*~A))"),
//.LUTG1("(B*~(~C*~D))"),
.INIT_LUTF0(16'b1111101000110010),
.INIT_LUTF1(16'b1100110011000000),
.INIT_LUTG0(16'b1111101000110010),
.INIT_LUTG1(16'b1100110011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4592|u_logic/_al_u4533 (
.a({open_n140781,\u_logic/Eccow6 }),
.b({\u_logic/_al_u4591_o ,\u_logic/Xbcow6_lutinv }),
.c({\u_logic/Kldow6 ,\u_logic/K1cow6 }),
.d({\u_logic/_al_u4489_o ,\u_logic/B0cow6 }),
.f({\u_logic/_al_u4592_o ,\u_logic/_al_u4533_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~D*B)*~(~C*~A))"),
//.LUT1("(B*~A*~(~D*~C))"),
.INIT_LUT0(16'b1111101000110010),
.INIT_LUT1(16'b0100010001000000),
.MODE("LOGIC"))
\u_logic/_al_u4593|u_logic/_al_u4559 (
.a({\u_logic/_al_u4574_o ,\u_logic/Eccow6 }),
.b({\u_logic/_al_u4592_o ,\u_logic/Xbcow6_lutinv }),
.c({\u_logic/_al_u4580_o ,\u_logic/G6cow6 }),
.d({\u_logic/_al_u4496_o ,\u_logic/_al_u4558_o }),
.f({\u_logic/_al_u4593_o ,\u_logic/_al_u4559_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~D*~C)*~(~0*~B))"),
//.LUTF1("(~(~D*~B)*~(~C*~A))"),
//.LUTG0("(~A*~(~D*~C)*~(~1*~B))"),
//.LUTG1("(~(~D*~B)*~(~C*~A))"),
.INIT_LUTF0(16'b0100010001000000),
.INIT_LUTF1(16'b1111101011001000),
.INIT_LUTG0(16'b0101010101010000),
.INIT_LUTG1(16'b1111101011001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4596|u_logic/_al_u4519 (
.a({\u_logic/Pkdow6 ,\u_logic/_al_u4514_o }),
.b({\u_logic/Kldow6 ,\u_logic/Eccow6 }),
.c({\u_logic/Tgcow6 ,\u_logic/Vacow6 }),
.d({\u_logic/Ahcow6 ,\u_logic/Tgcow6 }),
.e({open_n140828,\u_logic/Ahcow6 }),
.f({\u_logic/_al_u4596_o ,\u_logic/_al_u4519_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*B)*~(~C*~A))"),
//.LUTF1("(~(~D*~B)*~(~C*~A))"),
//.LUTG0("(~(~D*B)*~(~C*~A))"),
//.LUTG1("(~(~D*~B)*~(~C*~A))"),
.INIT_LUTF0(16'b1111101000110010),
.INIT_LUTF1(16'b1111101011001000),
.INIT_LUTG0(16'b1111101000110010),
.INIT_LUTG1(16'b1111101011001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4599|u_logic/_al_u4480 (
.a({\u_logic/Pkdow6 ,\u_logic/Vacow6 }),
.b({\u_logic/_al_u4580_o ,\u_logic/Xbcow6_lutinv }),
.c({\u_logic/Rcliu6 ,\u_logic/Rcliu6 }),
.d({\u_logic/_al_u4479_o ,\u_logic/_al_u4479_o }),
.f({\u_logic/_al_u4599_o ,\u_logic/Ogdow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*~A*~(~D*~B))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0101000001000000),
.MODE("LOGIC"))
\u_logic/_al_u4600|u_logic/_al_u435 (
.a({\u_logic/_al_u4574_o ,open_n140873}),
.b({\u_logic/_al_u4473_o ,open_n140874}),
.c({\u_logic/_al_u4599_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/Kldow6 ,\u_logic/Pxzhu6 }),
.f({\u_logic/_al_u4600_o ,\u_logic/Tgfpw6 [2]}));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(A)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
\u_logic/_al_u4601 (
.c({open_n140899,\u_logic/_al_u4583_o }),
.d({open_n140902,\u_logic/_al_u4482_o }),
.f({open_n140920,\u_logic/_al_u4601_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4603 (
.c({open_n140930,\u_logic/Pkdow6 }),
.d({open_n140933,\u_logic/_al_u4528_o }),
.f({open_n140947,\u_logic/_al_u4603_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*~B)*~(~C*~A))"),
//.LUTF1("(~(~D*~B)*~(~C*~A))"),
//.LUTG0("(~(~D*~B)*~(~C*~A))"),
//.LUTG1("(~(~D*~B)*~(~C*~A))"),
.INIT_LUTF0(16'b1111101011001000),
.INIT_LUTF1(16'b1111101011001000),
.INIT_LUTG0(16'b1111101011001000),
.INIT_LUTG1(16'b1111101011001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4604|u_logic/_al_u4612 (
.a({\u_logic/Kldow6 ,\u_logic/Kldow6 }),
.b({\u_logic/_al_u4580_o ,\u_logic/_al_u4580_o }),
.c({\u_logic/K1cow6 ,\u_logic/G6cow6 }),
.d({\u_logic/B0cow6 ,\u_logic/_al_u4558_o }),
.f({\u_logic/_al_u4604_o ,\u_logic/_al_u4612_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~C*~D))"),
//.LUT1("(D*~(~C*~B))"),
.INIT_LUT0(16'b1100110011000000),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"))
\u_logic/_al_u4605|u_logic/_al_u4498 (
.b({\u_logic/P0cow6 ,\u_logic/_al_u4497_o }),
.c({\u_logic/_al_u4583_o ,\u_logic/Eccow6 }),
.d({\u_logic/_al_u4604_o ,\u_logic/_al_u4489_o }),
.f({\u_logic/_al_u4605_o ,\u_logic/_al_u4498_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4607|u_logic/_al_u2659 (
.a({open_n140999,\u_logic/A3iiu6 }),
.b({open_n141000,\u_logic/_al_u2301_o }),
.c({\u_logic/Pkdow6 ,\u_logic/_al_u699_o }),
.d({\u_logic/_al_u4541_o ,\u_logic/Nxkbx6 [2]}),
.f({\u_logic/_al_u4607_o ,\u_logic/_al_u2659_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*B)*~(~D*~A))"),
//.LUTF1("(~(~C*~B)*~(~D*~A))"),
//.LUTG0("(~(~C*B)*~(~D*~A))"),
//.LUTG1("(~(~C*~B)*~(~D*~A))"),
.INIT_LUTF0(16'b1111001110100010),
.INIT_LUTF1(16'b1111110010101000),
.INIT_LUTG0(16'b1111001110100010),
.INIT_LUTG1(16'b1111110010101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4608|u_logic/_al_u4549 (
.a({\u_logic/Kldow6 ,\u_logic/Eccow6 }),
.b({\u_logic/_al_u4580_o ,\u_logic/Xbcow6_lutinv }),
.c({\u_logic/Piziu6 ,\u_logic/Piziu6 }),
.d({\u_logic/Alziu6 ,\u_logic/Alziu6 }),
.f({\u_logic/Qodow6 ,\u_logic/Nycow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17726)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*~(~B*A)))"),
//.LUTF1("(B*~(~C*~D))"),
//.LUTG0("~(C*~(D*~(~B*A)))"),
//.LUTG1("(B*~(~C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101111100001111),
.INIT_LUTF1(16'b1100110011000000),
.INIT_LUTG0(16'b1101111100001111),
.INIT_LUTG1(16'b1100110011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4609|u_logic/Awupw6_reg (
.a({open_n141049,\u_logic/_al_u4719_o }),
.b({\u_logic/Qodow6 ,\u_logic/_al_u4693_o }),
.c({\u_logic/_al_u4583_o ,\u_logic/_al_u4762_o }),
.clk(clk_pad),
.d({\u_logic/Rjziu6 ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u4609_o ,open_n141067}),
.q({open_n141071,\u_logic/vis_pc_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(17726)
EG_PHY_LSLICE #(
//.LUTF0("(C*A*~(D*~B))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*A*~(D*~B))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1000000010100000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1000000010100000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4611|u_logic/_al_u3835 (
.a({open_n141072,\u_logic/Rx6ju6_lutinv }),
.b({open_n141073,\u_logic/_al_u1354_o }),
.c({\u_logic/Pkdow6 ,\u_logic/Ww6ju6 }),
.d({\u_logic/_al_u4554_o ,\u_logic/_al_u3725_o }),
.f({\u_logic/_al_u4611_o ,\u_logic/_al_u3835_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~D*~C)*~(~0*~A))"),
//.LUTF1("(D*~(~C*~B))"),
//.LUTG0("(B*~(~D*~C)*~(~1*~A))"),
//.LUTG1("(D*~(~C*~B))"),
.INIT_LUTF0(16'b1000100010000000),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b1100110011000000),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4613|u_logic/_al_u4584 (
.a({open_n141098,\u_logic/Pkdow6 }),
.b({\u_logic/_al_u4562_o ,\u_logic/_al_u4581_o }),
.c({\u_logic/_al_u4583_o ,\u_logic/Ukcow6 }),
.d({\u_logic/_al_u4612_o ,\u_logic/_al_u4583_o }),
.e({open_n141101,\u_logic/Plcow6 }),
.f({\u_logic/_al_u4613_o ,\u_logic/_al_u4584_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~B*~A*~(~D*C))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~B*~A*~(~D*C))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0001000100000001),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0001000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4616|u_logic/_al_u3716 (
.a({\u_logic/_al_u4571_o ,open_n141122}),
.b({\u_logic/_al_u4579_o ,\u_logic/Nkaju6_lutinv }),
.c({\u_logic/G8how6 ,\u_logic/Vgjpw6 }),
.d({\u_logic/Ms5bx6 ,\u_logic/Qyniu6_lutinv }),
.f({\u_logic/Ycliu6 ,\u_logic/Ys4ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~0*~C)*~(~D*~A))"),
//.LUTF1("(~(~C*~B)*~(~D*~A))"),
//.LUTG0("(B*~(~1*~C)*~(~D*~A))"),
//.LUTG1("(~(~C*~B)*~(~D*~A))"),
.INIT_LUTF0(16'b1100000010000000),
.INIT_LUTF1(16'b1111110010101000),
.INIT_LUTG0(16'b1100110010001000),
.INIT_LUTG1(16'b1111110010101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4619|u_logic/_al_u4625 (
.a({\u_logic/_al_u4482_o ,\u_logic/_al_u4473_o }),
.b({\u_logic/Ycliu6 ,\u_logic/_al_u4619_o }),
.c({\u_logic/Rcliu6 ,\u_logic/_al_u4622_o }),
.d({\u_logic/_al_u4618_o ,\u_logic/Cfliu6 }),
.e({open_n141149,\u_logic/_al_u4479_o }),
.f({\u_logic/_al_u4619_o ,\u_logic/_al_u4625_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D)*~(B)*~(C)+D*~(B)*~(C)+~(D)*B*~(C)+~(D)*~(B)*C)"),
//.LUT1("(~(~C*~B)*~(D*A))"),
.INIT_LUT0(16'b0000001100111111),
.INIT_LUT1(16'b0101010011111100),
.MODE("LOGIC"))
\u_logic/_al_u4620|u_logic/_al_u3967 (
.a({\u_logic/T23ju6_lutinv ,open_n141170}),
.b({\u_logic/D31ju6 ,\u_logic/Sojax6 }),
.c({\u_logic/Yp8iu6 ,\u_logic/Ssjax6 }),
.d({\u_logic/Ufopw6 ,\u_logic/Rwjax6 }),
.f({\u_logic/_al_u4620_o ,\u_logic/_al_u3967_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~A*~(~0*~D))"),
//.LUTF1("(A*~(~D*~C*~B))"),
//.LUTG0("(~C*~B*~A*~(~1*~D))"),
//.LUTG1("(A*~(~D*~C*~B))"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTF1(16'b1010101010101000),
.INIT_LUTG0(16'b0000000100000001),
.INIT_LUTG1(16'b1010101010101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4621|u_logic/_al_u2650 (
.a({\u_logic/_al_u4620_o ,\u_logic/Fq8iu6 }),
.b({\u_logic/_al_u4453_o ,\u_logic/Fr0iu6_lutinv }),
.c({\u_logic/Ms5bx6 ,\u_logic/Yp8iu6 }),
.d({\u_logic/S4kbx6 ,\u_logic/P5vpw6 }),
.e({open_n141193,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u4621_o ,\u_logic/_al_u2650_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*~(C*~(0*~A))))"),
//.LUTF1("(D*~(~C*~B))"),
//.LUTG0("(B*~(D*~(C*~(1*~A))))"),
//.LUTG1("(D*~(~C*~B))"),
.INIT_LUTF0(16'b1100000011001100),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b1000000011001100),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4622|u_logic/_al_u4627 (
.a({open_n141214,\u_logic/_al_u4459_o }),
.b({\u_logic/_al_u4621_o ,\u_logic/_al_u4625_o }),
.c({\u_logic/Tucow6_lutinv ,\u_logic/_al_u4466_o }),
.d({\u_logic/Eccow6 ,\u_logic/n3708 }),
.e({open_n141217,\u_logic/Ms5bx6 }),
.f({\u_logic/_al_u4622_o ,\u_logic/_al_u4627_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("~(C*~((~B*A))*~(D)+C*(~B*A)*~(D)+~(C)*(~B*A)*D+C*(~B*A)*D)"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b1101110100001111),
.MODE("LOGIC"))
\u_logic/_al_u4624|u_logic/_al_u4617 (
.a({\u_logic/_al_u3943_o ,\u_logic/G8how6 }),
.b({\u_logic/R3how6_lutinv ,\u_logic/Tucow6_lutinv }),
.c({\u_logic/_al_u4623_o ,\u_logic/Vo3ju6_lutinv }),
.d({\u_logic/Tucow6_lutinv ,\u_logic/Ms5bx6 }),
.f({\u_logic/Cfliu6 ,\u_logic/_al_u4617_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~C*~B))"),
//.LUT1("(C*~(B*~(D*~A)))"),
.INIT_LUT0(16'b1111110000000000),
.INIT_LUT1(16'b0111000000110000),
.MODE("LOGIC"))
\u_logic/_al_u4629|u_logic/_al_u4577 (
.a({\u_logic/_al_u4459_o ,open_n141258}),
.b({\u_logic/_al_u4466_o ,\u_logic/Tucow6_lutinv }),
.c({\u_logic/n3708 ,\u_logic/_al_u4576_o }),
.d({\u_logic/Ms5bx6 ,\u_logic/Eccow6 }),
.f({\u_logic/_al_u4629_o ,\u_logic/Pkdow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~0*~C)*~(D*~A))"),
//.LUTF1("(~(~C*~B)*~(~D*~A))"),
//.LUTG0("(B*~(~1*~C)*~(D*~A))"),
//.LUTG1("(~(~C*~B)*~(~D*~A))"),
.INIT_LUTF0(16'b1000000011000000),
.INIT_LUTF1(16'b1111110010101000),
.INIT_LUTG0(16'b1000100011001100),
.INIT_LUTG1(16'b1111110010101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4630|u_logic/_al_u4714 (
.a({\u_logic/Ycliu6 ,\u_logic/_al_u4528_o }),
.b({\u_logic/Cfliu6 ,\u_logic/_al_u4713_o }),
.c({\u_logic/Wlcow6 ,\u_logic/P0cow6 }),
.d({\u_logic/Plcow6 ,\u_logic/Xbcow6_lutinv }),
.e({open_n141281,\u_logic/Kjziu6_lutinv }),
.f({\u_logic/_al_u4630_o ,\u_logic/_al_u4714_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~D*~C)*~(~0*~A))"),
//.LUT1("(B*~(~D*~C)*~(~1*~A))"),
.INIT_LUT0(16'b1000100010000000),
.INIT_LUT1(16'b1100110011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4631 (
.a({\u_logic/_al_u4622_o ,\u_logic/_al_u4622_o }),
.b({\u_logic/_al_u4630_o ,\u_logic/_al_u4630_o }),
.c({\u_logic/Ukcow6 ,\u_logic/Ukcow6 }),
.d({\u_logic/_al_u4618_o ,\u_logic/_al_u4618_o }),
.mi({open_n141314,\u_logic/Gkcow6 }),
.fx({open_n141319,\u_logic/_al_u4631_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~C*~D))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~B*~(~C*~D))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0011001100110000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0011001100110000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4633|u_logic/_al_u4634 (
.b({open_n141324,\u_logic/_al_u4633_o }),
.c({\u_logic/Ahcow6 ,\u_logic/Kfcow6 }),
.d({\u_logic/Cfliu6 ,\u_logic/_al_u4622_o }),
.f({\u_logic/_al_u4633_o ,\u_logic/_al_u4634_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~C)*~(~0*~B))"),
//.LUT1("(A*~(~D*~C)*~(~1*~B))"),
.INIT_LUT0(16'b1000100010000000),
.INIT_LUT1(16'b1010101010100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4635 (
.a({\u_logic/_al_u4634_o ,\u_logic/_al_u4634_o }),
.b({\u_logic/Yfcow6 ,\u_logic/Yfcow6 }),
.c({\u_logic/Ycliu6 ,\u_logic/Ycliu6 }),
.d({\u_logic/Tgcow6 ,\u_logic/Tgcow6 }),
.mi({open_n141361,\u_logic/_al_u4618_o }),
.fx({open_n141366,\u_logic/_al_u4635_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4637 (
.c({open_n141373,\u_logic/K1cow6 }),
.d({open_n141376,\u_logic/Cfliu6 }),
.f({open_n141390,\u_logic/_al_u4637_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(~D*~B))"),
//.LUTG0("(C*~A*~(~D*~B))"),
.INIT_LUTF0(16'b0101000001000000),
.INIT_LUTG0(16'b0101000001000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4639 (
.a({open_n141396,\u_logic/_al_u4629_o }),
.b({open_n141397,\u_logic/_al_u4528_o }),
.c({open_n141398,\u_logic/_al_u4638_o }),
.d({open_n141401,\u_logic/Ycliu6 }),
.f({open_n141419,\u_logic/_al_u4639_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D*~A)))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~B*~(C*~(D*~A)))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0001001100000011),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0001001100000011),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4641|u_logic/_al_u4565 (
.a({open_n141425,\u_logic/_al_u4297_o }),
.b({open_n141426,\u_logic/Vacow6 }),
.c({\u_logic/Piziu6 ,\u_logic/Iimow6 }),
.d({\u_logic/_al_u4622_o ,\u_logic/_al_u4450_o }),
.f({\u_logic/_al_u4641_o ,\u_logic/_al_u4565_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D*~A)))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~B*~(C*~(D*~A)))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0001001100000011),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0001001100000011),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4642|u_logic/_al_u4586 (
.a({open_n141451,\u_logic/_al_u4297_o }),
.b({open_n141452,\u_logic/Pkdow6 }),
.c({\u_logic/Alziu6 ,\u_logic/Iimow6 }),
.d({\u_logic/Cfliu6 ,\u_logic/_al_u4450_o }),
.f({\u_logic/_al_u4642_o ,\u_logic/_al_u4586_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19724)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(C*(D@B)))"),
//.LUTF1("(C*~D)"),
//.LUTG0("~(A*~(C*(D@B)))"),
//.LUTG1("(C*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111010111010101),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0111010111010101),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4645|u_logic/Ms5bx6_reg (
.a({open_n141477,\u_logic/_al_u2659_o }),
.b({open_n141478,\u_logic/_al_u2571_o }),
.c({\u_logic/_al_u4644_o ,\u_logic/_al_u2293_o }),
.ce(\u_logic/n1481 ),
.clk(clk_pad),
.d({\u_logic/_al_u4629_o ,\u_logic/vis_pc_o[0] }),
.sr(cpuresetn),
.f({\u_logic/_al_u4645_o ,\u_logic/Iiliu6 }),
.q({open_n141498,\u_logic/Ms5bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19724)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(D*~(1*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1100101000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4647|u_logic/_al_u4496 (
.a({open_n141499,\u_logic/_al_u3691_o }),
.b({open_n141500,\u_logic/Mu3ju6 }),
.c({\u_logic/_al_u4558_o ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/_al_u4622_o ,\u_logic/_al_u4495_o }),
.e({open_n141503,\u_logic/_al_u4454_o }),
.f({\u_logic/_al_u4647_o ,\u_logic/_al_u4496_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(~D*~A)*~(C)*~(0)+~(~D*~A)*C*~(0)+~(~(~D*~A))*C*0+~(~D*~A)*C*0))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(B*(~(~D*~A)*~(C)*~(1)+~(~D*~A)*C*~(1)+~(~(~D*~A))*C*1+~(~D*~A)*C*1))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1100110010001000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1100000011000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4648|u_logic/_al_u4811 (
.a({open_n141524,\u_logic/_al_u3964_o }),
.b({open_n141525,\u_logic/_al_u4810_o }),
.c({\u_logic/_al_u4618_o ,\u_logic/_al_u1386_o }),
.d({\u_logic/_al_u4562_o ,\u_logic/Yi8iu6_lutinv }),
.e({open_n141528,\u_logic/Ph8iu6_lutinv }),
.f({\u_logic/_al_u4648_o ,\u_logic/_al_u4811_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4650|u_logic/_al_u4649 (
.b({\u_logic/_al_u4648_o ,open_n141551}),
.c({\u_logic/_al_u4649_o ,\u_logic/G6cow6 }),
.d({\u_logic/_al_u4647_o ,\u_logic/Cfliu6 }),
.f({\u_logic/_al_u4650_o ,\u_logic/_al_u4649_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~C*~B)*~(~D*~A))"),
//.LUT1("(B*~A*~(~D*~C))"),
.INIT_LUT0(16'b1111110010101000),
.INIT_LUT1(16'b0100010001000000),
.MODE("LOGIC"))
\u_logic/_al_u4651|u_logic/_al_u4689 (
.a({\u_logic/_al_u4629_o ,\u_logic/Hlziu6 }),
.b({\u_logic/_al_u4650_o ,\u_logic/_al_u4688_o }),
.c({\u_logic/_al_u4554_o ,\u_logic/Piziu6 }),
.d({\u_logic/Ycliu6 ,\u_logic/Alziu6 }),
.f({\u_logic/_al_u4651_o ,\u_logic/_al_u4689_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*~(D*~A)))"),
//.LUT1("(~B*~(~C*~D))"),
.INIT_LUT0(16'b0001001100000011),
.INIT_LUT1(16'b0011001100110000),
.MODE("LOGIC"))
\u_logic/_al_u4655|u_logic/_al_u4654 (
.a({open_n141596,\u_logic/Mg3ju6_lutinv }),
.b({\u_logic/_al_u4654_o ,\u_logic/_al_u4618_o }),
.c({\u_logic/_al_u4461_o ,\u_logic/_al_u4452_o }),
.d({\u_logic/_al_u4622_o ,\u_logic/_al_u4454_o }),
.f({\u_logic/_al_u4655_o ,\u_logic/_al_u4654_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~D))"),
//.LUT1("(C*~B*~(~D*~A))"),
.INIT_LUT0(16'b1100110000001100),
.INIT_LUT1(16'b0011000000100000),
.MODE("LOGIC"))
\u_logic/_al_u4656|u_logic/_al_u4477 (
.a({\u_logic/H78ow6 ,open_n141617}),
.b({\u_logic/_al_u4653_o ,\u_logic/_al_u4476_o }),
.c({\u_logic/_al_u4655_o ,\u_logic/_al_u4454_o }),
.d({\u_logic/Cfliu6 ,\u_logic/_al_u3891_o }),
.f({\u_logic/_al_u4656_o ,\u_logic/Rcliu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~A*~(~D*C))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0001000100000001),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4658|u_logic/_al_u4567 (
.a({open_n141638,\u_logic/_al_u4565_o }),
.b({open_n141639,\u_logic/_al_u4566_o }),
.c({\u_logic/Xv6ow6 ,\u_logic/Xbcow6_lutinv }),
.d({\u_logic/Ycliu6 ,\u_logic/_al_u4461_o }),
.f({\u_logic/_al_u4658_o ,\u_logic/_al_u4567_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1010000011110000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1010000000110000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u465|u_logic/_al_u3761 (
.a({open_n141660,\u_logic/Fa9ju6_lutinv }),
.b({open_n141661,\u_logic/L2epw6 }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u3760_o }),
.d({\u_logic/S20iu6 ,\u_logic/_al_u769_o }),
.e({open_n141664,\u_logic/Ys4ju6 }),
.f({\u_logic/Tgfpw6 [12],\u_logic/Ngmiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(C*~A*~(~D*~B)))"),
//.LUTF1("(C*~A*~(~D*~B))"),
//.LUTG0("(1*~(C*~A*~(~D*~B)))"),
//.LUTG1("(C*~A*~(~D*~B))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0101000001000000),
.INIT_LUTG0(16'b1010111110111111),
.INIT_LUTG1(16'b0101000001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4660|u_logic/_al_u4797 (
.a({\u_logic/_al_u4629_o ,\u_logic/_al_u4693_o }),
.b({\u_logic/_al_u4489_o ,\u_logic/_al_u4473_o }),
.c({\u_logic/_al_u4659_o ,\u_logic/_al_u4696_o }),
.d({\u_logic/Cfliu6 ,\u_logic/Hlziu6 }),
.e({open_n141687,\u_logic/Yi8iu6_lutinv }),
.f({\u_logic/_al_u4660_o ,\u_logic/_al_u4797_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17412)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(D*C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(~B*~(D*C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110011001100),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1110110011001100),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4662|u_logic/T5mpw6_reg (
.a({\u_logic/_al_u1079_o ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u1068_o ,\u_logic/_al_u4394_o }),
.c({\u_logic/Halax6 ,\u_logic/_al_u4395_o }),
.clk(clk_pad),
.d({\u_logic/Qakbx6 ,HRDATA[1]}),
.f({\u_logic/_al_u4662_o ,open_n141726}),
.q({open_n141730,\u_logic/T5mpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17412)
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b0010011110101111),
.MODE("LOGIC"))
\u_logic/_al_u4668|u_logic/_al_u4665 (
.a({\u_logic/_al_u2647_o ,\u_logic/Cmziu6_lutinv }),
.b({\u_logic/Et8iu6_lutinv ,\u_logic/_al_u2647_o }),
.c({\u_logic/vis_pc_o[15] ,\u_logic/vis_pc_o[21] }),
.d({\u_logic/Nxkbx6 [17],\u_logic/Zsfpw6 [21]}),
.f({\u_logic/_al_u4668_o ,\u_logic/_al_u4665_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~A*~(D*C))"),
//.LUTF1("(B*~(C*D))"),
//.LUTG0("(B*~A*~(D*C))"),
//.LUTG1("(B*~(C*D))"),
.INIT_LUTF0(16'b0000010001000100),
.INIT_LUTF1(16'b0000110011001100),
.INIT_LUTG0(16'b0000010001000100),
.INIT_LUTG1(16'b0000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4669|u_logic/_al_u4768 (
.a({open_n141751,\u_logic/_al_u4663_o }),
.b({\u_logic/_al_u4668_o ,\u_logic/Gdjow6 }),
.c({\u_logic/Zsfpw6 [15],\u_logic/Egziu6 }),
.d({\u_logic/Cmziu6_lutinv ,\u_logic/Nxkbx6 [10]}),
.f({\u_logic/_al_u4669_o ,\u_logic/_al_u4768_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~A*~(D*B))"),
//.LUT1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
.INIT_LUT0(16'b0001000001010000),
.INIT_LUT1(16'b0010011110101111),
.MODE("LOGIC"))
\u_logic/_al_u4671|u_logic/_al_u4780 (
.a({\u_logic/_al_u2647_o ,\u_logic/_al_u4663_o }),
.b({\u_logic/Et8iu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.c({\u_logic/vis_pc_o[16] ,\u_logic/_al_u4779_o }),
.d({\u_logic/Nxkbx6 [18],\u_logic/Zsfpw6 [12]}),
.f({\u_logic/_al_u4671_o ,\u_logic/_al_u4780_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(D*C))"),
//.LUT1("(B*~(C*D))"),
.INIT_LUT0(16'b0000010001000100),
.INIT_LUT1(16'b0000110011001100),
.MODE("LOGIC"))
\u_logic/_al_u4672|u_logic/_al_u4666 (
.a({open_n141796,\u_logic/_al_u4663_o }),
.b({\u_logic/_al_u4671_o ,\u_logic/_al_u4665_o }),
.c({\u_logic/Zsfpw6 [16],\u_logic/Egziu6 }),
.d({\u_logic/Cmziu6_lutinv ,\u_logic/Nxkbx6 [23]}),
.f({\u_logic/_al_u4672_o ,\u_logic/_al_u4666_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("(~(C*~B)*~(D*A))"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b0100010111001111),
.MODE("LOGIC"))
\u_logic/_al_u4674|u_logic/_al_u4776 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.b({\u_logic/_al_u2647_o ,\u_logic/_al_u2647_o }),
.c({\u_logic/vis_pc_o[17] ,\u_logic/vis_pc_o[11] }),
.d({\u_logic/Zsfpw6 [17],\u_logic/Zsfpw6 [11]}),
.f({\u_logic/_al_u4674_o ,\u_logic/Prdow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(B*~A*~(D*C))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000010001000100),
.MODE("LOGIC"))
\u_logic/_al_u4675|u_logic/_al_u278 (
.a({\u_logic/_al_u4663_o ,open_n141837}),
.b({\u_logic/_al_u4674_o ,open_n141838}),
.c({\u_logic/Egziu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Nxkbx6 [19],\u_logic/C80iu6 }),
.f({\u_logic/_al_u4675_o ,\u_logic/Mifpw6 [23]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4677|u_logic/_al_u4736 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.b({\u_logic/_al_u2647_o ,\u_logic/_al_u2647_o }),
.c({\u_logic/vis_pc_o[18] ,\u_logic/vis_pc_o[14] }),
.d({\u_logic/Zsfpw6 [18],\u_logic/Zsfpw6 [14]}),
.f({\u_logic/_al_u4677_o ,\u_logic/Idkow6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(D*C))"),
//.LUT1("(B*~A*~(D*C))"),
.INIT_LUT0(16'b0000010001000100),
.INIT_LUT1(16'b0000010001000100),
.MODE("LOGIC"))
\u_logic/_al_u4678|u_logic/_al_u4771 (
.a({\u_logic/_al_u4663_o ,\u_logic/_al_u4663_o }),
.b({\u_logic/_al_u4677_o ,\u_logic/Eriow6 }),
.c({\u_logic/Egziu6 ,\u_logic/Egziu6 }),
.d({\u_logic/Nxkbx6 [20],\u_logic/Nxkbx6 [12]}),
.f({\u_logic/_al_u4678_o ,\u_logic/_al_u4771_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(B*~A*~(~D*~C)))"),
//.LUT1("(1*~(B*~A*~(~D*~C)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1011101110111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4684 (
.a({\u_logic/_al_u4471_o ,\u_logic/_al_u4471_o }),
.b({\u_logic/_al_u4567_o ,\u_logic/_al_u4567_o }),
.c({\u_logic/H78ow6 ,\u_logic/H78ow6 }),
.d({\u_logic/Eccow6 ,\u_logic/Eccow6 }),
.mi({open_n141915,\u_logic/Zgziu6_lutinv }),
.fx({open_n141920,\u_logic/_al_u4684_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(D*~C))"),
//.LUT1("(~(C*~B)*~(D*A))"),
.INIT_LUT0(16'b0100000001000100),
.INIT_LUT1(16'b0100010111001111),
.MODE("LOGIC"))
\u_logic/_al_u4685|u_logic/_al_u4789 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/_al_u4663_o }),
.b({\u_logic/_al_u2647_o ,\u_logic/_al_u4788_o }),
.c({\u_logic/vis_pc_o[22] ,\u_logic/_al_u2647_o }),
.d({\u_logic/Zsfpw6 [22],\u_logic/vis_pc_o[5] }),
.f({\u_logic/_al_u4685_o ,\u_logic/_al_u4789_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b0011000011111100),
.MODE("LOGIC"))
\u_logic/_al_u4690|u_logic/_al_u4475 (
.b({\u_logic/Tucow6_lutinv ,\u_logic/Tucow6_lutinv }),
.c({\u_logic/_al_u4483_o ,\u_logic/Df3ju6 }),
.d({\u_logic/_al_u3917_o ,\u_logic/_al_u3943_o }),
.f({\u_logic/Kjziu6_lutinv ,\u_logic/Xbcow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~0*~B)*~(D*~A))"),
//.LUT1("(C*~(~1*~B)*~(D*~A))"),
.INIT_LUT0(16'b1000000011000000),
.INIT_LUT1(16'b1010000011110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4691 (
.a({\u_logic/_al_u4541_o ,\u_logic/_al_u4541_o }),
.b({\u_logic/Rjziu6 ,\u_logic/Rjziu6 }),
.c({\u_logic/_al_u4689_o ,\u_logic/_al_u4689_o }),
.d({\u_logic/Xbcow6_lutinv ,\u_logic/Xbcow6_lutinv }),
.mi({open_n141977,\u_logic/Kjziu6_lutinv }),
.fx({open_n141982,\u_logic/_al_u4691_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~D*~C)*~(~0*~A))"),
//.LUTF1("(D*~(~C*~B))"),
//.LUTG0("(~B*~(~D*~C)*~(~1*~A))"),
//.LUTG1("(D*~(~C*~B))"),
.INIT_LUTF0(16'b0010001000100000),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b0011001100110000),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4696|u_logic/_al_u4638 (
.a({open_n141985,\u_logic/_al_u4622_o }),
.b({\u_logic/_al_u4482_o ,\u_logic/_al_u4637_o }),
.c({\u_logic/Kjziu6_lutinv ,\u_logic/P0cow6 }),
.d({\u_logic/_al_u4695_o ,\u_logic/_al_u4618_o }),
.e({open_n141988,\u_logic/B0cow6 }),
.f({\u_logic/_al_u4696_o ,\u_logic/_al_u4638_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20162)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*~(0*~(B*~A))))"),
//.LUTF1("(~(~C*~B)*~(~D*A))"),
//.LUTG0("(~D*~(C*~(1*~(B*~A))))"),
//.LUTG1("(~(~C*~B)*~(~D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1111110001010100),
.INIT_LUTG0(16'b0000000010111111),
.INIT_LUTG1(16'b1111110001010100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4698|u_logic/X5ibx6_reg (
.a({\u_logic/Xbcow6_lutinv ,\u_logic/_al_u4693_o }),
.b({\u_logic/_al_u4688_o ,\u_logic/_al_u4714_o }),
.c({\u_logic/_al_u4496_o ,\u_logic/_al_u4811_o }),
.clk(clk_pad),
.d({\u_logic/Xv6ow6 ,\u_logic/_al_u4812_o }),
.e({open_n142010,\u_logic/Yi8iu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u4698_o ,open_n142025}),
.q({open_n142029,\u_logic/vis_apsr_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(20162)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*~B*~A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*~(D*~B*~A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1110000011110000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1110000011110000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4702|u_logic/_al_u4516 (
.a({open_n142030,\u_logic/_al_u3874_o }),
.b({open_n142031,\u_logic/_al_u3885_o }),
.c({\u_logic/Gkcow6 ,\u_logic/_al_u4515_o }),
.d({\u_logic/_al_u4688_o ,\u_logic/_al_u4454_o }),
.f({\u_logic/_al_u4702_o ,\u_logic/Tgcow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~0*~D)*~(~C*~B))"),
//.LUTF1("(~(~D*~B)*~(~C*A))"),
//.LUTG0("(A*~(~1*~D)*~(~C*~B))"),
//.LUTG1("(~(~D*~B)*~(~C*A))"),
.INIT_LUTF0(16'b1010100000000000),
.INIT_LUTF1(16'b1111010111000100),
.INIT_LUTG0(16'b1010100010101000),
.INIT_LUTG1(16'b1111010111000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4705|u_logic/_al_u4597 (
.a({\u_logic/Xbcow6_lutinv ,\u_logic/_al_u4596_o }),
.b({\u_logic/Hlziu6 ,\u_logic/Yfcow6 }),
.c({\u_logic/Tgcow6 ,\u_logic/_al_u4583_o }),
.d({\u_logic/Ahcow6 ,\u_logic/_al_u4580_o }),
.e({open_n142058,\u_logic/Kfcow6 }),
.f({\u_logic/Iecow6 ,\u_logic/_al_u4597_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4706|u_logic/_al_u4514 (
.c({\u_logic/Kfcow6 ,\u_logic/Kfcow6 }),
.d({\u_logic/_al_u4688_o ,\u_logic/Xbcow6_lutinv }),
.f({\u_logic/_al_u4706_o ,\u_logic/_al_u4514_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTF1("(~D*B*~A*~(~0*~C))"),
//.LUTG0("(D*~(1*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG1("(~D*B*~A*~(~1*~C))"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b0000000001000000),
.INIT_LUTG0(16'b1100101000000000),
.INIT_LUTG1(16'b0000000001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4707|u_logic/_al_u4518 (
.a({\u_logic/_al_u4693_o ,\u_logic/_al_u3893_o }),
.b({\u_logic/Iecow6 ,\u_logic/C34ju6 }),
.c({\u_logic/Yfcow6 ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/_al_u4706_o ,\u_logic/_al_u4517_o }),
.e({\u_logic/Kjziu6_lutinv ,\u_logic/_al_u4454_o }),
.f({\u_logic/_al_u4707_o ,\u_logic/Ahcow6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~C*~B))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111110000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4709|u_logic/_al_u4699 (
.b({open_n142127,\u_logic/_al_u4494_o }),
.c({\u_logic/_al_u4558_o ,\u_logic/Kjziu6_lutinv }),
.d({\u_logic/_al_u4688_o ,\u_logic/_al_u4698_o }),
.f({\u_logic/_al_u4709_o ,\u_logic/_al_u4699_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~0*~B)*~(D*~A))"),
//.LUT1("(~C*~(~1*~B)*~(D*~A))"),
.INIT_LUT0(16'b0000100000001100),
.INIT_LUT1(16'b0000101000001111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4710 (
.a({\u_logic/_al_u4554_o ,\u_logic/_al_u4554_o }),
.b({\u_logic/_al_u4562_o ,\u_logic/_al_u4562_o }),
.c({\u_logic/_al_u4709_o ,\u_logic/_al_u4709_o }),
.d({\u_logic/Xbcow6_lutinv ,\u_logic/Xbcow6_lutinv }),
.mi({open_n142160,\u_logic/Kjziu6_lutinv }),
.fx({open_n142165,\u_logic/_al_u4710_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~0*C)*~(~D*~B))"),
//.LUTF1("(~(~D*~B)*~(~C*~A))"),
//.LUTG0("(~A*~(~1*C)*~(~D*~B))"),
//.LUTG1("(~(~D*~B)*~(~C*~A))"),
.INIT_LUTF0(16'b0000010100000100),
.INIT_LUTF1(16'b1111101011001000),
.INIT_LUTG0(16'b0101010101000100),
.INIT_LUTG1(16'b1111101011001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4713|u_logic/_al_u4497 (
.a({\u_logic/Hlziu6 ,\u_logic/_al_u4492_o }),
.b({\u_logic/_al_u4688_o ,\u_logic/_al_u4494_o }),
.c({\u_logic/K1cow6 ,\u_logic/Xbcow6_lutinv }),
.d({\u_logic/B0cow6 ,\u_logic/_al_u4485_o }),
.e({open_n142170,\u_logic/_al_u4496_o }),
.f({\u_logic/_al_u4713_o ,\u_logic/_al_u4497_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D*~A)))"),
//.LUTF1("(~B*~A*~(~D*~C))"),
//.LUTG0("(~B*~(C*~(D*~A)))"),
//.LUTG1("(~B*~A*~(~D*~C))"),
.INIT_LUTF0(16'b0001001100000011),
.INIT_LUTF1(16'b0001000100010000),
.INIT_LUTG0(16'b0001001100000011),
.INIT_LUTG1(16'b0001000100010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4718|u_logic/_al_u4717 (
.a({\u_logic/_al_u4716_o ,\u_logic/Mg3ju6_lutinv }),
.b({\u_logic/_al_u4717_o ,\u_logic/Kjziu6_lutinv }),
.c({\u_logic/_al_u4461_o ,\u_logic/_al_u4452_o }),
.d({\u_logic/_al_u4688_o ,\u_logic/_al_u4454_o }),
.f({\u_logic/_al_u4718_o ,\u_logic/_al_u4717_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~D*~C)*~(~B*A))"),
//.LUT1("(D*~(~C*~B))"),
.INIT_LUT0(16'b1101110111010000),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"))
\u_logic/_al_u4719|u_logic/_al_u4695 (
.a({open_n142215,\u_logic/Xbcow6_lutinv }),
.b({\u_logic/H78ow6 ,\u_logic/Rcliu6 }),
.c({\u_logic/Hlziu6 ,\u_logic/_al_u4479_o }),
.d({\u_logic/_al_u4718_o ,\u_logic/_al_u4688_o }),
.f({\u_logic/_al_u4719_o ,\u_logic/_al_u4695_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*A*~(B*~(~0*C)))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(~D*A*~(B*~(~1*C)))"),
//.LUTG1("(C*~(B*~D))"),
.INIT_LUTF0(16'b0000000010100010),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b0000000000100010),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4721|u_logic/_al_u2852 (
.a({open_n142236,\u_logic/Vihiu6_lutinv }),
.b({\u_logic/Uzaiu6 ,\u_logic/_al_u2571_o }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/vis_pc_o[0] }),
.d({\u_logic/_al_u2351_o ,\u_logic/U8jax6 }),
.e({open_n142239,\u_logic/X7ypw6 }),
.f({\u_logic/_al_u4721_o ,\u_logic/Uy4iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17652)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*C))"),
//.LUT1("(~(D*B)*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011101110111),
.INIT_LUT1(16'b0010001110101111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4723|u_logic/Wfspw6_reg (
.a({\u_logic/_al_u4721_o ,\u_logic/_al_u2904_o }),
.b({\u_logic/Xibiu6 ,\u_logic/_al_u2906_o }),
.c({\u_logic/vis_ipsr_o[0] ,\u_logic/A25iu6 }),
.clk(clk_pad),
.d({\u_logic/Xdspw6 ,\u_logic/Xdspw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4723_o ,open_n142273}),
.q({open_n142277,\u_logic/Wfspw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17652)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~B*~A)"),
//.LUT1("(~(D*B)*~(C*~A))"),
.INIT_LUT0(16'b0000000100000000),
.INIT_LUT1(16'b0010001110101111),
.MODE("LOGIC"))
\u_logic/_al_u4726|u_logic/_al_u2846 (
.a({\u_logic/_al_u4721_o ,\u_logic/_al_u2845_o }),
.b({\u_logic/Xibiu6 ,\u_logic/_al_u2569_o }),
.c({\u_logic/vis_ipsr_o[2] ,\u_logic/_al_u2655_o }),
.d({\u_logic/Jpmpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u4726_o ,\u_logic/_al_u2846_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*~B*A))"),
//.LUTF1("(~(D*B)*~(C*~A))"),
//.LUTG0("(~D*~(C*~B*A))"),
//.LUTG1("(~(D*B)*~(C*~A))"),
.INIT_LUTF0(16'b0000000011011111),
.INIT_LUTF1(16'b0010001110101111),
.INIT_LUTG0(16'b0000000011011111),
.INIT_LUTG1(16'b0010001110101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4728|u_logic/_al_u2845 (
.a({\u_logic/_al_u4721_o ,\u_logic/_al_u2571_o }),
.b({\u_logic/Xibiu6 ,\u_logic/J0iax6 }),
.c({\u_logic/vis_ipsr_o[3] ,\u_logic/U8jax6 }),
.d({\u_logic/Xiipw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u4728_o ,\u_logic/_al_u2845_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*~A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0010101000111111),
.MODE("LOGIC"))
\u_logic/_al_u4730|u_logic/_al_u2866 (
.a({\u_logic/_al_u4721_o ,\u_logic/R05iu6 }),
.b({\u_logic/Xibiu6 ,\u_logic/_al_u2847_o }),
.c({\u_logic/G2iax6 ,\u_logic/O34iu6 }),
.d({\u_logic/vis_ipsr_o[4] ,\u_logic/S7mpw6 }),
.f({\u_logic/_al_u4730_o ,\u_logic/_al_u2866_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*~A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0010001110101111),
.MODE("LOGIC"))
\u_logic/_al_u4734|u_logic/_al_u2905 (
.a({\u_logic/_al_u4721_o ,\u_logic/R05iu6 }),
.b({\u_logic/Xibiu6 ,\u_logic/_al_u2847_o }),
.c({\u_logic/vis_ipsr_o[1] ,\u_logic/T24iu6 }),
.d({\u_logic/T5mpw6 ,\u_logic/Wfspw6 }),
.f({\u_logic/_al_u4734_o ,\u_logic/_al_u2905_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C)*~(0*B))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(~A*~(D*C)*~(1*B))"),
//.LUTG1("(B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000010101010101),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4737|u_logic/_al_u4680 (
.a({\u_logic/_al_u4663_o ,\u_logic/_al_u4663_o }),
.b({\u_logic/Idkow6 ,\u_logic/Egziu6 }),
.c({\u_logic/Egziu6 ,\u_logic/Cmziu6_lutinv }),
.d({\u_logic/Nxkbx6 [16],\u_logic/Zsfpw6 [19]}),
.e({open_n142364,\u_logic/Nxkbx6 [21]}),
.f({\u_logic/_al_u4737_o ,\u_logic/_al_u4680_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*~(D*~A)))"),
//.LUT1("(~(D*~C)*~(B*A))"),
.INIT_LUT0(16'b0000011100000011),
.INIT_LUT1(16'b0111000001110111),
.MODE("LOGIC"))
\u_logic/_al_u4740|u_logic/_al_u4574 (
.a({\u_logic/_al_u3963_o ,\u_logic/_al_u4459_o }),
.b({\u_logic/Ug8iu6_lutinv ,\u_logic/_al_u4466_o }),
.c({\u_logic/_al_u1401_o ,\u_logic/_al_u4573_o }),
.d({\u_logic/Ph8iu6_lutinv ,\u_logic/Ms5bx6 }),
.f({\u_logic/_al_u4740_o ,\u_logic/_al_u4574_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18534)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*~D))"),
//.LUT1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001111110011),
.INIT_LUT1(16'b0010011110101111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4742|u_logic/Zshax6_reg (
.a({\u_logic/_al_u2647_o ,open_n142405}),
.b({\u_logic/Et8iu6_lutinv ,\u_logic/_al_u4752_o }),
.c({\u_logic/vis_pc_o[1] ,\u_logic/Zgziu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Nxkbx6 [3],\u_logic/_al_u4645_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u4742_o ,open_n142419}),
.q({open_n142423,\u_logic/vis_pc_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18534)
EG_PHY_MSLICE #(
//.LUT0("(C*~A*~(D*B))"),
.INIT_LUT0(16'b0001000001010000),
.MODE("LOGIC"))
\u_logic/_al_u4743 (
.a({open_n142424,\u_logic/_al_u4663_o }),
.b({open_n142425,\u_logic/Cmziu6_lutinv }),
.c({open_n142426,\u_logic/_al_u4742_o }),
.d({open_n142429,\u_logic/Zsfpw6 [1]}),
.f({open_n142443,\u_logic/_al_u4743_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4745|u_logic/_al_u2518 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/_al_u2474_o }),
.b({\u_logic/_al_u2647_o ,\u_logic/_al_u2473_o }),
.c({\u_logic/vis_pc_o[2] ,\u_logic/Y84iu6 }),
.d({\u_logic/Zsfpw6 [2],\u_logic/Nybbx6 }),
.f({\u_logic/Rwgow6 ,\u_logic/E2liu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~A*~(D*C))"),
//.LUTG0("(B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000010001000100),
.INIT_LUTG0(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4746 (
.a({open_n142473,\u_logic/_al_u4663_o }),
.b({open_n142474,\u_logic/Rwgow6 }),
.c({open_n142475,\u_logic/Egziu6 }),
.d({open_n142478,\u_logic/Nxkbx6 [4]}),
.f({open_n142496,\u_logic/_al_u4746_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4748|u_logic/_al_u2494 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/_al_u2474_o }),
.b({\u_logic/_al_u2647_o ,\u_logic/_al_u2473_o }),
.c({\u_logic/vis_pc_o[3] ,\u_logic/U64iu6 }),
.d({\u_logic/Zsfpw6 [3],\u_logic/T6kbx6 }),
.f({\u_logic/Tkfow6 ,\u_logic/G2miu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("(B*~A*~(D*C))"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b0000010001000100),
.MODE("LOGIC"))
\u_logic/_al_u4749|u_logic/_al_u3734 (
.a({\u_logic/_al_u4663_o ,\u_logic/By4ju6 }),
.b({\u_logic/Tkfow6 ,\u_logic/_al_u3723_o }),
.c({\u_logic/Egziu6 ,\u_logic/Nxkbx6 [11]}),
.d({\u_logic/Nxkbx6 [5],\u_logic/mult0_0_0_10 }),
.f({\u_logic/_al_u4749_o ,\u_logic/_al_u3734_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~A*~(D*B))"),
//.LUT1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
.INIT_LUT0(16'b0001000001010000),
.INIT_LUT1(16'b0010011110101111),
.MODE("LOGIC"))
\u_logic/_al_u4751|u_logic/_al_u4752 (
.a({\u_logic/_al_u2647_o ,\u_logic/_al_u4663_o }),
.b({\u_logic/Et8iu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.c({\u_logic/vis_pc_o[4] ,\u_logic/_al_u4751_o }),
.d({\u_logic/Nxkbx6 [6],\u_logic/Zsfpw6 [4]}),
.f({\u_logic/_al_u4751_o ,\u_logic/_al_u4752_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C)*~(0*B))"),
//.LUT1("(~A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000010101010101),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4754 (
.a({\u_logic/_al_u4663_o ,\u_logic/_al_u4663_o }),
.b({\u_logic/Egziu6 ,\u_logic/Egziu6 }),
.c({\u_logic/Cmziu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.d({\u_logic/Zsfpw6 [0],\u_logic/Zsfpw6 [0]}),
.mi({open_n142578,\u_logic/Nxkbx6 [2]}),
.fx({open_n142583,\u_logic/_al_u4754_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C)*~(0*B))"),
//.LUT1("(~A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000010101010101),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4756 (
.a({\u_logic/_al_u4663_o ,\u_logic/_al_u4663_o }),
.b({\u_logic/Egziu6 ,\u_logic/Egziu6 }),
.c({\u_logic/Cmziu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.d({\u_logic/Zsfpw6 [29],\u_logic/Zsfpw6 [29]}),
.mi({open_n142598,\u_logic/Nxkbx6 [31]}),
.fx({open_n142603,\u_logic/_al_u4756_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
//.LUTF1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
//.LUTG0("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
//.LUTG1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
.INIT_LUTF0(16'b0010011110101111),
.INIT_LUTF1(16'b0010011110101111),
.INIT_LUTG0(16'b0010011110101111),
.INIT_LUTG1(16'b0010011110101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4758|u_logic/_al_u4794 (
.a({\u_logic/_al_u2647_o ,\u_logic/_al_u2647_o }),
.b({\u_logic/Et8iu6_lutinv ,\u_logic/Et8iu6_lutinv }),
.c({\u_logic/vis_pc_o[27] ,\u_logic/vis_pc_o[28] }),
.d({\u_logic/Nxkbx6 [29],\u_logic/Nxkbx6 [30]}),
.f({\u_logic/_al_u4758_o ,\u_logic/_al_u4794_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(D*B))"),
//.LUTF1("(C*~A*~(D*B))"),
//.LUTG0("(C*~A*~(D*B))"),
//.LUTG1("(C*~A*~(D*B))"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b0001000001010000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0001000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4759|u_logic/_al_u4795 (
.a({\u_logic/_al_u4663_o ,\u_logic/_al_u4663_o }),
.b({\u_logic/Cmziu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.c({\u_logic/_al_u4758_o ,\u_logic/_al_u4794_o }),
.d({\u_logic/Zsfpw6 [27],\u_logic/Zsfpw6 [28]}),
.f({\u_logic/_al_u4759_o ,\u_logic/_al_u4795_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0010011110101111),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0010011110101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4764|u_logic/_al_u4770 (
.a({\u_logic/_al_u2647_o ,\u_logic/Cmziu6_lutinv }),
.b({\u_logic/Et8iu6_lutinv ,\u_logic/_al_u2647_o }),
.c({\u_logic/vis_pc_o[9] ,\u_logic/vis_pc_o[10] }),
.d({\u_logic/Nxkbx6 [11],\u_logic/Zsfpw6 [10]}),
.f({\u_logic/_al_u4764_o ,\u_logic/Eriow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4767|u_logic/_al_u4791 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.b({\u_logic/_al_u2647_o ,\u_logic/_al_u2647_o }),
.c({\u_logic/vis_pc_o[8] ,\u_logic/vis_pc_o[6] }),
.d({\u_logic/Zsfpw6 [8],\u_logic/Zsfpw6 [6]}),
.f({\u_logic/Gdjow6 ,\u_logic/W48ow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(D*B))"),
//.LUTF1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
//.LUTG0("(C*~A*~(D*B))"),
//.LUTG1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b0010011110101111),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0010011110101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4773|u_logic/_al_u4774 (
.a({\u_logic/_al_u2647_o ,\u_logic/_al_u4663_o }),
.b({\u_logic/Et8iu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.c({\u_logic/vis_pc_o[7] ,\u_logic/_al_u4773_o }),
.d({\u_logic/Nxkbx6 [9],\u_logic/Zsfpw6 [7]}),
.f({\u_logic/_al_u4773_o ,\u_logic/_al_u4774_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19923)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*~(B*~A)))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("~(C*~(D*~(B*~A)))"),
//.LUTG1("(B*~A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111100001111),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b1011111100001111),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4777|u_logic/P7bbx6_reg (
.a({\u_logic/_al_u4663_o ,\u_logic/_al_u4574_o }),
.b({\u_logic/Prdow6 ,\u_logic/_al_u4584_o }),
.c({\u_logic/Egziu6 ,\u_logic/_al_u4765_o }),
.clk(clk_pad),
.d({\u_logic/Nxkbx6 [13],\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u4777_o ,open_n142743}),
.q({open_n142747,\u_logic/vis_pc_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(19923)
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(D*B))"),
//.LUTF1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
//.LUTG0("(C*~A*~(D*B))"),
//.LUTG1("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b0010011110101111),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0010011110101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4782|u_logic/_al_u4765 (
.a({\u_logic/_al_u2647_o ,\u_logic/_al_u4663_o }),
.b({\u_logic/Et8iu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.c({\u_logic/vis_pc_o[13] ,\u_logic/_al_u4764_o }),
.d({\u_logic/Nxkbx6 [15],\u_logic/Zsfpw6 [9]}),
.f({\u_logic/_al_u4782_o ,\u_logic/_al_u4765_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*D))"),
//.LUT1("(B*~(~C*~D))"),
.INIT_LUT0(16'b0000001100110011),
.INIT_LUT1(16'b1100110011000000),
.MODE("LOGIC"))
\u_logic/_al_u4785|u_logic/_al_u4580 (
.b({\u_logic/Ug8iu6_lutinv ,\u_logic/_al_u4579_o }),
.c({\u_logic/_al_u3972_o ,\u_logic/Tucow6_lutinv }),
.d({\u_logic/_al_u3962_o ,\u_logic/_al_u3946_o }),
.f({\u_logic/_al_u4785_o ,\u_logic/_al_u4580_o }));
EG_PHY_MSLICE #(
//.LUT0("~(C*~((D*B))*~(A)+C*(D*B)*~(A)+~(C)*(D*B)*A+C*(D*B)*A)"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0010011110101111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u4788|u_logic/_al_u4779 (
.a({\u_logic/Egziu6 ,\u_logic/_al_u2647_o }),
.b({\u_logic/Cmziu6_lutinv ,\u_logic/Et8iu6_lutinv }),
.c({\u_logic/Zsfpw6 [5],\u_logic/vis_pc_o[12] }),
.d({\u_logic/Nxkbx6 [7],\u_logic/Nxkbx6 [14]}),
.f({\u_logic/_al_u4788_o ,\u_logic/_al_u4779_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("(B*~A*~(D*C))"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b0000010001000100),
.MODE("LOGIC"))
\u_logic/_al_u4792|u_logic/_al_u4804 (
.a({\u_logic/_al_u4663_o ,\u_logic/Cmziu6_lutinv }),
.b({\u_logic/W48ow6 ,\u_logic/_al_u2647_o }),
.c({\u_logic/Egziu6 ,\u_logic/vis_pc_o[24] }),
.d({\u_logic/Nxkbx6 [8],\u_logic/Zsfpw6 [24]}),
.f({\u_logic/_al_u4792_o ,\u_logic/Rmcow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(D*~(1*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C)))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b1100101000000000),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4798|u_logic/_al_u4491 (
.a({open_n142834,\u_logic/_al_u3870_o }),
.b({\u_logic/Et8iu6_lutinv ,\u_logic/Uc4ju6 }),
.c({\u_logic/Nxkbx6 [1],\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/_al_u2652_o ,\u_logic/_al_u4490_o }),
.e({open_n142837,\u_logic/_al_u4454_o }),
.f({\u_logic/_al_u4798_o ,\u_logic/Xv6ow6 }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(C*~A*~(~D*~B)))"),
//.LUT1("(1*~(C*~A*~(~D*~B)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1010111110111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4800 (
.a({\u_logic/_al_u4693_o ,\u_logic/_al_u4693_o }),
.b({\u_logic/_al_u4473_o ,\u_logic/_al_u4473_o }),
.c({\u_logic/_al_u4696_o ,\u_logic/_al_u4696_o }),
.d({\u_logic/Hlziu6 ,\u_logic/Hlziu6 }),
.mi({open_n142870,\u_logic/Zgziu6_lutinv }),
.fx({open_n142875,\u_logic/_al_u4800_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(C*~A*~(~D*~B)))"),
//.LUT1("(1*~(C*~A*~(~D*~B)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1010111110111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4803 (
.a({\u_logic/_al_u4693_o ,\u_logic/_al_u4693_o }),
.b({\u_logic/_al_u4489_o ,\u_logic/_al_u4489_o }),
.c({\u_logic/_al_u4699_o ,\u_logic/_al_u4699_o }),
.d({\u_logic/Hlziu6 ,\u_logic/Hlziu6 }),
.mi({open_n142890,\u_logic/Zgziu6_lutinv }),
.fx({open_n142895,\u_logic/_al_u4803_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17472)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*~(~B*A)))"),
//.LUT1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101111100001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4812|u_logic/Arnpw6_reg (
.a({open_n142898,\u_logic/_al_u4719_o }),
.b({open_n142899,\u_logic/_al_u4693_o }),
.c({\u_logic/vis_apsr_o[0] ,\u_logic/_al_u4740_o }),
.ce(\u_logic/Y5liu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u4810_o ,\u_logic/Yi8iu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u4812_o ,open_n142912}),
.q({open_n142916,\u_logic/vis_apsr_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(17472)
// ../rtl/topmodule/cortexm0ds_logic.v(18814)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u486|u_logic/Ejpax6_reg (
.a({\u_logic/Xpqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Fnqow6 ,\u_logic/Dmqow6 }),
.c({\u_logic/vis_r3_o[14] ,\u_logic/vis_r0_o[14] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[14] ,\u_logic/vis_r2_o[14] }),
.mi({open_n142927,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u486_o ,\u_logic/_al_u484_o }),
.q({open_n142932,\u_logic/vis_r6_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18814)
// ../rtl/topmodule/cortexm0ds_logic.v(19755)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u487|u_logic/Dg6bx6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/Mnqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Voqow6 }),
.c({\u_logic/vis_r6_o[14] ,\u_logic/vis_r1_o[14] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[14] ,\u_logic/vis_r4_o[14] }),
.mi({open_n142936,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u487_o ,\u_logic/_al_u485_o }),
.q({open_n142952,\u_logic/vis_r3_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(19755)
// ../rtl/topmodule/cortexm0ds_logic.v(18840)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u496|u_logic/Uyqax6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/Xpqow6 ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r2_o[15] ,\u_logic/vis_r5_o[15] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[15] ,\u_logic/vis_r7_o[15] }),
.mi({open_n142956,\u_logic/Bamiu6 }),
.f({\u_logic/_al_u496_o ,\u_logic/_al_u222_o }),
.q({open_n142972,\u_logic/vis_r2_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(18840)
// ../rtl/topmodule/cortexm0ds_logic.v(19768)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u497|u_logic/C67bx6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r6_o[15] ,\u_logic/vis_r3_o[13] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[15] ,\u_logic/vis_r0_o[13] }),
.mi({open_n142983,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u497_o ,\u_logic/_al_u473_o }),
.q({open_n142988,\u_logic/vis_r2_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19768)
// ../rtl/topmodule/cortexm0ds_logic.v(19767)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u498|u_logic/C47bx6_reg (
.a({\u_logic/Mnqow6 ,\u_logic/Kmqow6 }),
.b({\u_logic/Voqow6 ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r4_o[15] ,\u_logic/vis_r6_o[13] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[15] ,\u_logic/vis_r5_o[13] }),
.mi({open_n142992,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u498_o ,\u_logic/_al_u474_o }),
.q({open_n143008,\u_logic/vis_r1_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19767)
// ../rtl/topmodule/cortexm0ds_logic.v(19766)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u499|u_logic/C27bx6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/Eqqow6 }),
.b({\u_logic/Fnqow6 ,\u_logic/Voqow6 }),
.c({\u_logic/vis_r5_o[15] ,\u_logic/vis_r1_o[13] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[15] ,\u_logic/vis_r7_o[13] }),
.mi({open_n143012,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u499_o ,\u_logic/_al_u475_o }),
.q({open_n143028,\u_logic/vis_r0_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19766)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u49|u_logic/_al_u2801 (
.c({\u_logic/vis_ipsr_o[3] ,\u_logic/_al_u2393_o }),
.d({\u_logic/vis_ipsr_o[2] ,\u_logic/_al_u1066_o }),
.f({\u_logic/M8row6_lutinv ,\u_logic/Bepiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4|u_logic/_al_u5 (
.c({\u_logic/W0jax6 ,\u_logic/W2jax6 }),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.f({\u_logic/Vnfpw6 [6],\u_logic/Vnfpw6 [7]}));
// ../rtl/topmodule/cortexm0ds_logic.v(17541)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100000101010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000100000101010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u506|u_logic/Lnppw6_reg (
.a({\u_logic/_al_u502_o ,\u_logic/_al_u3651_o }),
.b({\u_logic/_al_u503_o ,\u_logic/Y1qow6 }),
.c({\u_logic/_al_u504_o ,\u_logic/L90iu6 }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u505_o ,\u_logic/Q80iu6 }),
.mi({open_n143080,\u_logic/Jlmiu6 }),
.f({\u_logic/J10iu6 ,\u_logic/_al_u3892_o }),
.q({open_n143096,\u_logic/vis_r5_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(17541)
// ../rtl/topmodule/cortexm0ds_logic.v(17631)
EG_PHY_LSLICE #(
//.LUTF0("~(D*C*B*~A)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("~(D*C*B*~A)"),
//.LUTG1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111111111111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1011111111111111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u525|u_logic/Zvrpw6_reg (
.a({open_n143097,\u_logic/_al_u4629_o }),
.b({open_n143098,\u_logic/_al_u4656_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u3845_o }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/V00iu6 ,\u_logic/_al_u3847_o }),
.f({\u_logic/Tgfpw6 [19],\u_logic/Drkiu6 }),
.q({open_n143119,\u_logic/vis_r8_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(17631)
// ../rtl/topmodule/cortexm0ds_logic.v(18912)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u527|u_logic/Vxuax6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/Fnqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r5_o[20] ,\u_logic/vis_r7_o[27] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[20] ,\u_logic/vis_r4_o[27] }),
.mi({open_n143130,\u_logic/E1miu6 }),
.f({\u_logic/_al_u527_o ,\u_logic/_al_u297_o }),
.q({open_n143135,\u_logic/vis_r4_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(18912)
// ../rtl/topmodule/cortexm0ds_logic.v(18934)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u528|u_logic/M5wax6_reg (
.a({\u_logic/Mnqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Dmqow6 }),
.c({\u_logic/vis_r7_o[20] ,\u_logic/vis_r0_o[27] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[20] ,\u_logic/vis_r2_o[27] }),
.mi({open_n143146,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u528_o ,\u_logic/_al_u580_o }),
.q({open_n143151,\u_logic/vis_r7_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(18934)
// ../rtl/topmodule/cortexm0ds_logic.v(17540)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u529|u_logic/Llppw6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/Kmqow6 }),
.b({\u_logic/Voqow6 ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r0_o[20] ,\u_logic/vis_r6_o[27] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[20] ,\u_logic/vis_r3_o[27] }),
.mi({open_n143155,\u_logic/E1miu6 }),
.f({\u_logic/Swyow6 ,\u_logic/_al_u581_o }),
.q({open_n143171,\u_logic/vis_r5_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(17540)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~0*B)*~(~D*A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~(~1*B)*~(~D*A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000001100000001),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000111100000101),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u531|u_logic/_al_u3870 (
.a({open_n143172,\u_logic/_al_u3662_o }),
.b({open_n143173,\u_logic/_al_u3663_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u3869_o }),
.d({\u_logic/H00iu6 ,\u_logic/Ib0iu6 }),
.e({open_n143176,\u_logic/Wb0iu6 }),
.f({\u_logic/Tgfpw6 [20],\u_logic/_al_u3870_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18914)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u535|u_logic/V1vax6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/Mnqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r7_o[21] ,\u_logic/vis_r7_o[19] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[21] ,\u_logic/vis_r4_o[19] }),
.mi({open_n143200,\u_logic/C7miu6 }),
.f({\u_logic/_al_u535_o ,\u_logic/_al_u520_o }),
.q({open_n143216,\u_logic/vis_r4_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18914)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~0*B)*~(~D*A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~(~1*B)*~(~D*A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000001100000001),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000111100000101),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u537|u_logic/_al_u3868 (
.a({open_n143217,\u_logic/_al_u3662_o }),
.b({open_n143218,\u_logic/_al_u3663_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u3867_o }),
.d({\u_logic/A00iu6 ,\u_logic/Bb0iu6 }),
.e({open_n143221,\u_logic/Pb0iu6 }),
.f({\u_logic/Tgfpw6 [21],\u_logic/_al_u3868_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18100)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u53|u_logic/Bk7ax6_reg (
.a({\u_logic/S2cbx6 ,open_n143242}),
.b({\u_logic/Stkpw6 ,open_n143243}),
.c({\u_logic/Wt3qw6 ,\u_logic/Gpqpw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Zwnpw6 ,\u_logic/Iqzhu6_lutinv }),
.mi({open_n143247,\u_logic/Li7ax6 }),
.f({\u_logic/_al_u53_o ,\u_logic/_al_u131_o }),
.q({open_n143263,\u_logic/Bk7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18100)
// ../rtl/topmodule/cortexm0ds_logic.v(18867)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u544|u_logic/Ngsax6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/Ljqow6 }),
.b({\u_logic/Kmqow6 ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r0_o[23] ,\u_logic/vis_r10_o[30] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[23] ,\u_logic/vis_r11_o[30] }),
.mi({open_n143274,\u_logic/X6niu6 }),
.f({\u_logic/_al_u544_o ,\u_logic/_al_u1390_o }),
.q({open_n143279,\u_logic/vis_r8_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(18867)
// ../rtl/topmodule/cortexm0ds_logic.v(17948)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u545|u_logic/Gv1qw6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/Gkqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Qiqow6 }),
.c({\u_logic/vis_r2_o[23] ,\u_logic/vis_r8_o[28] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[23] ,\u_logic/vis_r9_o[28] }),
.mi({open_n143283,\u_logic/K3niu6 }),
.f({\u_logic/_al_u545_o ,\u_logic/_al_u1382_o }),
.q({open_n143299,\u_logic/vis_r11_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(17948)
// ../rtl/topmodule/cortexm0ds_logic.v(18748)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u546|u_logic/Xvlax6_reg (
.a({\u_logic/Mnqow6 ,\u_logic/_al_u742_o }),
.b({\u_logic/Voqow6 ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_r4_o[23] ,\u_logic/vis_r9_o[8] }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[23] ,\u_logic/vis_msp_o[6] }),
.mi({open_n143303,\u_logic/Zvkiu6 }),
.f({\u_logic/_al_u546_o ,\u_logic/_al_u926_o }),
.q({open_n143319,\u_logic/vis_r10_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18748)
// ../rtl/topmodule/cortexm0ds_logic.v(20181)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u547|u_logic/Pzibx6_reg (
.a({\u_logic/Xpqow6 ,\u_logic/_al_u742_o }),
.b({\u_logic/Fnqow6 ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_r5_o[23] ,\u_logic/vis_msp_o[26] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[23] ,\u_logic/vis_r9_o[28] }),
.mi({open_n143323,\u_logic/K3niu6 }),
.f({\u_logic/_al_u547_o ,\u_logic/_al_u856_o }),
.q({open_n143339,\u_logic/vis_msp_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(20181)
// ../rtl/topmodule/cortexm0ds_logic.v(18752)
EG_PHY_MSLICE #(
//.LUT0("~(C*D)"),
//.LUT1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111111111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u549|u_logic/X3max6_reg (
.c({\u_logic/Xuzhu6 ,\u_logic/Bpliu6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/Mzzhu6 ,\u_logic/_al_u4660_o }),
.f({\u_logic/Tgfpw6 [23],\u_logic/Uoliu6 }),
.q({open_n143360,\u_logic/vis_r10_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(18752)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u555|u_logic/_al_u567 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Fzzhu6 ,\u_logic/Yyzhu6 }),
.f({\u_logic/Tgfpw6 [24],\u_logic/Tgfpw6 [25]}));
// ../rtl/topmodule/cortexm0ds_logic.v(20167)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u558|u_logic/R7ibx6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Mnqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r2_o[8] ,\u_logic/vis_r4_o[30] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[8] ,\u_logic/vis_r1_o[30] }),
.mi({open_n143388,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u558_o ,\u_logic/_al_u317_o }),
.q({open_n143404,\u_logic/vis_r2_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20167)
// ../rtl/topmodule/cortexm0ds_logic.v(17933)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u559|u_logic/P21qw6_reg (
.a({\u_logic/Xpqow6 ,\u_logic/Wanow6_lutinv }),
.b({\u_logic/Eqqow6 ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r3_o[8] ,\u_logic/vis_r7_o[30] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[8] ,\u_logic/vis_r3_o[30] }),
.mi({open_n143408,\u_logic/X6niu6 }),
.f({\u_logic/Wlxow6 ,\u_logic/Gk4pw6 }),
.q({open_n143424,\u_logic/vis_r5_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(17933)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(~C*A))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0011000111110101),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u56|u_logic/_al_u4534 (
.a({open_n143425,\u_logic/_al_u4450_o }),
.b({open_n143426,\u_logic/Q2eow6 }),
.c({\u_logic/Sz3qw6 ,\u_logic/I0wiu6 }),
.d({\u_logic/vis_tbit_o ,HRDATA[28]}),
.f({\u_logic/Vobiu6_lutinv ,\u_logic/_al_u4534_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*~A))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0010001110101111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u573|u_logic/_al_u3838 (
.a({open_n143447,\u_logic/_al_u1410_o }),
.b({open_n143448,\u_logic/By4ju6 }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u3725_o }),
.d({\u_logic/Evzhu6 ,\u_logic/Nxkbx6 [30]}),
.f({\u_logic/Tgfpw6 [9],\u_logic/E87ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u579|u_logic/_al_u585 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Ryzhu6 ,\u_logic/Kyzhu6 }),
.f({\u_logic/Tgfpw6 [26],\u_logic/Tgfpw6 [27]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18818)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u586|u_logic/Erpax6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/U9now6_lutinv }),
.b({\u_logic/Kmqow6 ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r0_o[28] ,\u_logic/vis_r3_o[8] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[28] ,\u_logic/vis_r0_o[8] }),
.mi({open_n143507,\u_logic/K3niu6 }),
.f({\u_logic/_al_u586_o ,\u_logic/_al_u366_o }),
.q({open_n143512,\u_logic/vis_r6_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(18818)
// ../rtl/topmodule/cortexm0ds_logic.v(19760)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u587|u_logic/Dq6bx6_reg (
.a({\u_logic/Xpqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Fnqow6 ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r3_o[28] ,\u_logic/vis_r5_o[8] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[28] ,\u_logic/vis_r0_o[8] }),
.mi({open_n143523,\u_logic/K3niu6 }),
.f({\u_logic/_al_u587_o ,\u_logic/_al_u556_o }),
.q({open_n143528,\u_logic/vis_r3_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(19760)
// ../rtl/topmodule/cortexm0ds_logic.v(18921)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u588|u_logic/Vfvax6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/_al_u363_o }),
.b({\u_logic/Voqow6 ,\u_logic/_al_u364_o }),
.c({\u_logic/vis_r1_o[28] ,\u_logic/_al_u365_o }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[28] ,\u_logic/_al_u366_o }),
.mi({open_n143539,\u_logic/K3niu6 }),
.f({\u_logic/_al_u588_o ,\u_logic/B40iu6 }),
.q({open_n143544,\u_logic/vis_r4_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(18921)
// ../rtl/topmodule/cortexm0ds_logic.v(18904)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u589|u_logic/Xhuax6_reg (
.a({\u_logic/Mnqow6 ,\u_logic/_al_u568_o }),
.b({\u_logic/Eqqow6 ,\u_logic/_al_u569_o }),
.c({\u_logic/vis_r4_o[28] ,\u_logic/_al_u570_o }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[28] ,\u_logic/_al_u571_o }),
.mi({open_n143548,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u589_o ,\u_logic/Evzhu6 }),
.q({open_n143564,\u_logic/vis_r4_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(18904)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u58|u_logic/_al_u92 (
.c({\u_logic/Le2qw6 ,\u_logic/Pe7ax6 }),
.d({\u_logic/Di3qw6 ,\u_logic/D43qw6 }),
.f({\u_logic/Q4wiu6_lutinv ,\u_logic/_al_u92_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000100000101010),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000100000101010),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u591|u_logic/_al_u3907 (
.a({open_n143589,\u_logic/_al_u3651_o }),
.b({open_n143590,\u_logic/Y1qow6 }),
.c({\u_logic/Xuzhu6 ,\u_logic/P40iu6 }),
.d({\u_logic/Dyzhu6 ,\u_logic/B40iu6 }),
.f({\u_logic/Tgfpw6 [28],\u_logic/_al_u3907_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u59|u_logic/_al_u3008 (
.a({open_n143615,\u_logic/Cpwiu6 }),
.b({\u_logic/D43qw6 ,\u_logic/Q4wiu6_lutinv }),
.c({\u_logic/Pe7ax6 ,\u_logic/D43qw6 }),
.d({\u_logic/Q4wiu6_lutinv ,\u_logic/Pe7ax6 }),
.f({\u_logic/Vowiu6 ,\u_logic/_al_u3008_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17457)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u602|u_logic/Ejnpw6_reg (
.a({\u_logic/_al_u598_o ,\u_logic/_al_u442_o }),
.b({\u_logic/Fpvow6 ,\u_logic/_al_u443_o }),
.c({\u_logic/_al_u600_o ,\u_logic/_al_u444_o }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/Pnvow6 ,\u_logic/_al_u445_o }),
.mi({open_n143643,\u_logic/D39iu6 }),
.f({\u_logic/Bxzhu6 ,\u_logic/Uwzhu6 }),
.q({open_n143659,\u_logic/vis_r1_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(17457)
// ../rtl/topmodule/cortexm0ds_logic.v(18973)
EG_PHY_MSLICE #(
//.LUT0("~(C*D)"),
//.LUT1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111111111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u609|u_logic/V1yax6_reg (
.c({\u_logic/Xuzhu6 ,\u_logic/Ibliu6 }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/Wxzhu6 ,\u_logic/_al_u4627_o }),
.f({\u_logic/Tgfpw6 [29],\u_logic/Tx8iu6 }),
.q({open_n143680,\u_logic/vis_r3_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18973)
// ../rtl/topmodule/cortexm0ds_logic.v(18132)
EG_PHY_MSLICE #(
//.LUT0("~(B*~A*~(D*C))"),
//.LUT1("(C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111101110111011),
.INIT_LUT1(16'b0000000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u60|u_logic/Wq8ax6_reg (
.a({open_n143681,\u_logic/_al_u985_o }),
.b({\u_logic/Sqwpw6 ,\u_logic/Vq2iu6 }),
.c({\u_logic/Zm8ax6 ,\u_logic/Vk1iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Hw8ax6 ,\u_logic/Ro8ax6 }),
.f({\u_logic/Cpwiu6 ,open_n143696}),
.q({open_n143700,\u_logic/Wq8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18132)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(~A*~(~D*~C*~B))"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(~A*~(~D*~C*~B))"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b0101010101010100),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b0101010101010100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u617|u_logic/_al_u624 (
.a({\u_logic/_al_u616_o ,open_n143701}),
.b({\u_logic/A5ipw6 ,\u_logic/Oulpw6 }),
.c({\u_logic/I0opw6 ,\u_logic/Vplpw6 }),
.d({\u_logic/Ry2qw6 ,\u_logic/Tezhu6 }),
.f({\u_logic/_al_u617_o ,\u_logic/n332 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(A*~(~C*B)))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000000001011101),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u619|u_logic/_al_u2012 (
.a({open_n143726,\u_logic/Jflpw6 }),
.b({open_n143727,\u_logic/Kalpw6 }),
.c({\u_logic/Jflpw6 ,\u_logic/Krlpw6 }),
.d({\u_logic/_al_u618_o ,\u_logic/Sdlpw6 }),
.f({\u_logic/Iyyhu6 ,\u_logic/L3zhu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*C*B*~A)"),
//.LUT1("(~1*~D*C*B*~A)"),
.INIT_LUT0(16'b0000000001000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u620 (
.a({\u_logic/_al_u617_o ,\u_logic/_al_u617_o }),
.b({\u_logic/Iyyhu6 ,\u_logic/Iyyhu6 }),
.c({\u_logic/U5yhu6 ,\u_logic/U5yhu6 }),
.d({\u_logic/Bclpw6 ,\u_logic/Bclpw6 }),
.mi({open_n143760,\u_logic/Sdlpw6 }),
.fx({open_n143765,\u_logic/Fj1iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*C*B))"),
//.LUTF1("(C@D)"),
//.LUTG0("(A*~(~D*C*B))"),
//.LUTG1("(C@D)"),
.INIT_LUTF0(16'b1010101000101010),
.INIT_LUTF1(16'b0000111111110000),
.INIT_LUTG0(16'b1010101000101010),
.INIT_LUTG1(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u621|u_logic/_al_u2014 (
.a({open_n143768,\u_logic/Golpw6 }),
.b({open_n143769,\u_logic/Krlpw6 }),
.c({\u_logic/Y8lpw6 ,\u_logic/Vplpw6 }),
.d({\u_logic/Rilpw6 ,\u_logic/Zslpw6 }),
.f({\u_logic/Vp3iu6_lutinv ,\u_logic/F7zhu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17371)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(~D*C*~B))"),
//.LUT1("(~D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101010101110101),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u622|u_logic/Yklpw6_reg (
.a({\u_logic/Fj1iu6 ,\u_logic/_al_u1435_o }),
.b({\u_logic/Vp3iu6_lutinv ,\u_logic/Mmyhu6 }),
.c({\u_logic/Krlpw6 ,\u_logic/_al_u633_o }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Zslpw6 ,\u_logic/Kwlpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u622_o ,open_n143807}),
.q({open_n143811,\u_logic/Yklpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17371)
// ../rtl/topmodule/cortexm0ds_logic.v(17328)
EG_PHY_MSLICE #(
//.LUT0("(D*~(~B*~(C*A)))"),
//.LUT1("(C@D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110110000000000),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u626|u_logic/B7lpw6_reg (
.a({open_n143812,\u_logic/Di1iu6 }),
.b({open_n143813,\u_logic/B7lpw6 }),
.c({\u_logic/Utqpw6 ,\u_logic/L5lpw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Qwfax6 ,\u_logic/Ryfax6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/Z63iu6_lutinv ,open_n143827}),
.q({open_n143831,\u_logic/B7lpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17328)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTF1("(D*C*B*~A)"),
//.LUTG0("(D*C*~B*A)"),
//.LUTG1("(D*C*B*~A)"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0100000000000000),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b0100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u627|u_logic/_al_u660 (
.a({\u_logic/_al_u616_o ,\u_logic/Fj1iu6 }),
.b({\u_logic/Z63iu6_lutinv ,\u_logic/Yi1iu6_lutinv }),
.c({\u_logic/Qynpw6 ,\u_logic/Qynpw6 }),
.d({\u_logic/Ryfax6 ,\u_logic/Zslpw6 }),
.f({\u_logic/_al_u627_o ,\u_logic/_al_u660_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~0*~D*C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~A*~(~1*~D*C*B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0101010100010101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0101010101010101),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u628|u_logic/_al_u1425 (
.a({open_n143856,\u_logic/Vp3iu6_lutinv }),
.b({open_n143857,\u_logic/Golpw6 }),
.c({\u_logic/Vplpw6 ,\u_logic/Krlpw6 }),
.d({\u_logic/Golpw6 ,\u_logic/Vplpw6 }),
.e({open_n143860,\u_logic/Zslpw6 }),
.f({\u_logic/Pyyhu6_lutinv ,\u_logic/_al_u1425_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B*~(~0*~A)))"),
//.LUTF1("(~C*~(B*D))"),
//.LUTG0("(~C*~(~D*B*~(~1*~A)))"),
//.LUTG1("(~C*~(B*D))"),
.INIT_LUTF0(16'b0000111100000111),
.INIT_LUTF1(16'b0000001100001111),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0000001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u629|u_logic/_al_u1214 (
.a({open_n143881,\u_logic/_al_u617_o }),
.b({\u_logic/Krlpw6 ,\u_logic/A1zhu6_lutinv }),
.c({\u_logic/Zslpw6 ,\u_logic/Ahlpw6 }),
.d({\u_logic/Pyyhu6_lutinv ,\u_logic/Pmlpw6 }),
.e({open_n143884,\u_logic/Y8lpw6 }),
.f({\u_logic/_al_u629_o ,\u_logic/_al_u1214_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18172)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u62|u_logic/Xr9ax6_reg (
.c({\u_logic/Dg2qw6 ,\u_logic/T3opw6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Ve7iu6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/Oe7iu6 ,\u_logic/Oh4iu6 }),
.q({open_n143925,\u_logic/Xr9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18172)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*D))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000001100110011),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u631|u_logic/_al_u1706 (
.b({open_n143928,\u_logic/Rkzhu6 }),
.c({\u_logic/Jflpw6 ,\u_logic/Sdlpw6 }),
.d({\u_logic/_al_u618_o ,\u_logic/Hmzhu6_lutinv }),
.f({\u_logic/Hmzhu6_lutinv ,\u_logic/_al_u1706_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18089)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*D))"),
//.LUT1("(D*~C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001100110011),
.INIT_LUT1(16'b0000010000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u635|u_logic/E97ax6_reg (
.a({\u_logic/D43qw6 ,open_n143949}),
.b({\u_logic/Di3qw6 ,\u_logic/_al_u994_o }),
.c({\u_logic/Le2qw6 ,\u_logic/Z67ax6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Pe7ax6 ,\u_logic/Vk1iu6 }),
.f({\u_logic/Ymwiu6 ,open_n143964}),
.q({open_n143968,\u_logic/E97ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18089)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u639|u_logic/_al_u2153 (
.a({open_n143969,\u_logic/_al_u2152_o }),
.b({open_n143970,\u_logic/_al_u688_o }),
.c({\u_logic/P5vpw6 ,\u_logic/Aujpw6 }),
.d({\u_logic/_al_u159_o ,\u_logic/Daiax6 }),
.f({\u_logic/Apaiu6_lutinv ,\u_logic/_al_u2153_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17163)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*C*~B*A))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(D*~(1*C*~B*A))"),
//.LUTG1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1101111100000000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u63|u_logic/Kxhpw6_reg (
.a({open_n143991,\u_logic/_al_u4253_o }),
.b({\u_logic/W5ypw6 ,\u_logic/_al_u4256_o }),
.c({\u_logic/Ztupw6 ,\u_logic/Ydeow6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/R9yax6 ,\u_logic/_al_u4276_o }),
.e({open_n143993,\u_logic/_al_u1942_o }),
.mi({open_n143995,\u_logic/Hwhpw6 }),
.sr(RSTn_pad),
.f({\u_logic/Jcpow6 ,\u_logic/_al_u4277_o }),
.q({open_n144010,\u_logic/Kxhpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17163)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(0*D*C))"),
//.LUTF1("(~B*~(D*C*A))"),
//.LUTG0("(~B*~A*~(1*D*C))"),
//.LUTG1("(~B*~(D*C*A))"),
.INIT_LUTF0(16'b0001000100010001),
.INIT_LUTF1(16'b0001001100110011),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b0001001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u646|u_logic/_al_u4027 (
.a({\u_logic/Qe8iu6_lutinv ,\u_logic/_al_u1107_o }),
.b({\u_logic/_al_u644_o ,\u_logic/_al_u1077_o }),
.c({\u_logic/Ldoiu6_lutinv ,\u_logic/_al_u393_o }),
.d({\u_logic/Hirpw6 ,\u_logic/Pu1ju6_lutinv }),
.e({open_n144013,\u_logic/Daiax6 }),
.f({\u_logic/Lv7ow6 ,\u_logic/_al_u4027_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*~A)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000001000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u647|u_logic/_al_u1750 (
.a({open_n144034,\u_logic/Aujpw6 }),
.b({open_n144035,\u_logic/P5vpw6 }),
.c({\u_logic/Yvjpw6 ,\u_logic/T1vpw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/Vygax6 }),
.f({\u_logic/_al_u647_o ,\u_logic/_al_u1750_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*B)*~(~0*D*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(~C*B)*~(~1*D*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0101000111110011),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111001111110011),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u648|u_logic/_al_u1757 (
.a({open_n144056,\u_logic/Ls1ju6 }),
.b({open_n144057,\u_logic/_al_u1756_o }),
.c({\u_logic/_al_u647_o ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/_al_u393_o ,\u_logic/Md0iu6_lutinv }),
.e({open_n144060,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u648_o ,\u_logic/Do1ju6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17432)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011101011110000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u64|u_logic/Mnmpw6_reg (
.a({open_n144081,HWDATA[28]}),
.b({open_n144082,HWDATA[27]}),
.c({\u_logic/Yzspw6 ,\u_logic/_al_u1956_o }),
.clk(clk_pad),
.d({\u_logic/I5xax6 ,\u_logic/Ch5iu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/K5eiu6 ,open_n144096}),
.q({open_n144100,\u_logic/Mnmpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17432)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(A*~(~C*~(D*B)))"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b1010100010100000),
.MODE("LOGIC"))
\u_logic/_al_u650|u_logic/_al_u2627 (
.a({\u_logic/_al_u648_o ,\u_logic/_al_u1149_o }),
.b({\u_logic/_al_u159_o ,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/D6kiu6_lutinv ,\u_logic/_al_u686_o }),
.d({\u_logic/T23ju6_lutinv ,\u_logic/_al_u1095_o }),
.f({\u_logic/_al_u650_o ,\u_logic/Jxaiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*C*~(D)*~(0)+~(B)*C*D*~(0)+B*~(C)*~(D)*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*(B*C*~(D)*~(1)+~(B)*C*D*~(1)+B*~(C)*~(D)*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0010000010000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u655|u_logic/_al_u1433 (
.a({open_n144121,\u_logic/Epyhu6 }),
.b({\u_logic/Jflpw6 ,\u_logic/Bclpw6 }),
.c({\u_logic/Kalpw6 ,\u_logic/Kalpw6 }),
.d({\u_logic/_al_u133_o ,\u_logic/Rilpw6 }),
.e({open_n144124,\u_logic/Sdlpw6 }),
.f({\u_logic/_al_u655_o ,\u_logic/Vnyhu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~0*C)*~(~D*A))"),
//.LUT1("(~B*~(~1*C)*~(~D*A))"),
.INIT_LUT0(16'b0000001100000001),
.INIT_LUT1(16'b0011001100010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u656 (
.a({\u_logic/Hmzhu6_lutinv ,\u_logic/Hmzhu6_lutinv }),
.b({\u_logic/_al_u654_o ,\u_logic/_al_u654_o }),
.c({\u_logic/_al_u655_o ,\u_logic/_al_u655_o }),
.d({\u_logic/Sdlpw6 ,\u_logic/Sdlpw6 }),
.mi({open_n144157,\u_logic/Yklpw6 }),
.fx({open_n144162,\u_logic/_al_u656_o }));
EG_PHY_MSLICE #(
//.LUT0("~(~(0*D)*~(C*B*A))"),
//.LUT1("~(~(1*D)*~(C*B*A))"),
.INIT_LUT0(16'b1000000010000000),
.INIT_LUT1(16'b1111111110000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u658 (
.a({\u_logic/_al_u656_o ,\u_logic/_al_u656_o }),
.b({\u_logic/U03iu6 ,\u_logic/U03iu6 }),
.c({\u_logic/U5yhu6 ,\u_logic/U5yhu6 }),
.d({\u_logic/_al_u657_o ,\u_logic/_al_u657_o }),
.mi({open_n144177,\u_logic/Krlpw6 }),
.fx({open_n144182,\u_logic/Tw2iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17399)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(B*~(D*C)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~A*~(B*~(D*C)))"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101000100010001),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0101000100010001),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u659|u_logic/Gylpw6_reg (
.a({open_n144185,\u_logic/_al_u617_o }),
.b({open_n144186,\u_logic/_al_u627_o }),
.c({\u_logic/Krlpw6 ,\u_logic/_al_u629_o }),
.ce(\u_logic/U73iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vp3iu6_lutinv ,\u_logic/B7lpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/Yi1iu6_lutinv ,\u_logic/Mmyhu6 }),
.q({open_n144206,\u_logic/Gylpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17399)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(D*C)*~(B*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000011101110111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000011101110111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u65|u_logic/_al_u4098 (
.a({open_n144207,\u_logic/Dv2bx6 }),
.b({open_n144208,\u_logic/Oxkpw6 }),
.c({\u_logic/K5eiu6 ,\u_logic/Pv0bx6 }),
.d({\u_logic/Jcpow6 ,\u_logic/Rm2bx6 }),
.f({\u_logic/Hqgiu6 ,\u_logic/_al_u4098_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17393)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B)*~(C)+~(D)*B*~(C)+D*B*~(C)+~(D)*~(B)*C+D*~(B)*C)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*~(B)*~(C)+~(D)*B*~(C)+D*B*~(C)+~(D)*~(B)*C+D*~(B)*C)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111100111100),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0011111100111100),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u661|u_logic/Kwlpw6_reg (
.a({\u_logic/Cjqpw6 ,open_n144233}),
.b({\u_logic/Ehqpw6 ,\u_logic/vis_ipsr_o[4] }),
.c({\u_logic/T0ipw6 ,\u_logic/vis_ipsr_o[5] }),
.ce(\u_logic/n332 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({CDBGPWRUPREQ,\u_logic/_al_u2247_o }),
.mi({open_n144237,\u_logic/L5lpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u661_o ,\u_logic/Gcrow6_lutinv }),
.q({open_n144252,\u_logic/Kwlpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17393)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u662|u_logic/_al_u4257 (
.c({\u_logic/_al_u661_o ,\u_logic/T8kbx6 }),
.d({\u_logic/_al_u660_o ,\u_logic/Pdyax6 }),
.f({\u_logic/_al_u662_o ,\u_logic/A0fow6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17487)
EG_PHY_LSLICE #(
//.LUTF0("~(~(~C*B)*~(D*~(0*A)))"),
//.LUTF1("(~A*~(D*C*~B))"),
//.LUTG0("~(~(~C*B)*~(D*~(1*A)))"),
//.LUTG1("(~A*~(D*C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100001100),
.INIT_LUTF1(16'b0100010101010101),
.INIT_LUTG0(16'b0101110100001100),
.INIT_LUTG1(16'b0100010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u663|u_logic/I0opw6_reg (
.a({\u_logic/_al_u662_o ,\u_logic/Di1iu6 }),
.b({\u_logic/Cjqpw6 ,\u_logic/_al_u660_o }),
.c({\u_logic/Qwfax6 ,\u_logic/_al_u661_o }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Utqpw6 ,\u_logic/I0opw6 }),
.e({open_n144278,\u_logic/Li7ax6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/C53iu6 ,open_n144293}),
.q({open_n144297,\u_logic/I0opw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17487)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u668|u_logic/_al_u1130 (
.c({\u_logic/M6kax6 ,\u_logic/Vmipw6 }),
.d({\u_logic/Dzvpw6 ,\u_logic/Vhspw6 }),
.f({\u_logic/Fb9pw6_lutinv ,\u_logic/_al_u1130_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u670|u_logic/_al_u978 (
.c({\u_logic/Yvjpw6 ,\u_logic/Xxupw6 }),
.d({\u_logic/P5vpw6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u670_o ,\u_logic/Fr0iu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18634)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011100100010),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111011100100010),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u672|u_logic/V6jax6_reg (
.a({open_n144354,\u_logic/_al_u1978_o }),
.b({open_n144355,\u_logic/_al_u1986_o }),
.c({\u_logic/Vzupw6 ,\u_logic/_al_u1987_o }),
.clk(clk_pad),
.d({\u_logic/Hirpw6 ,\u_logic/V6jax6 }),
.f({\u_logic/_al_u672_o ,open_n144374}),
.q({open_n144378,\u_logic/V6jax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18634)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(~0*D*C))"),
//.LUT1("(B*A*~(~1*D*C))"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b1000100010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u673 (
.a({\u_logic/_al_u667_o ,\u_logic/_al_u667_o }),
.b({\u_logic/_al_u671_o ,\u_logic/_al_u671_o }),
.c({\u_logic/_al_u672_o ,\u_logic/_al_u672_o }),
.d({\u_logic/Ldoiu6_lutinv ,\u_logic/Ldoiu6_lutinv }),
.mi({open_n144391,\u_logic/Ufopw6 }),
.fx({open_n144396,\u_logic/_al_u673_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*~A)"),
//.LUTF1("(~D*C*B*~A)"),
//.LUTG0("(D*~C*B*~A)"),
//.LUTG1("(~D*C*B*~A)"),
.INIT_LUTF0(16'b0000010000000000),
.INIT_LUTF1(16'b0000000001000000),
.INIT_LUTG0(16'b0000010000000000),
.INIT_LUTG1(16'b0000000001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u674|u_logic/_al_u678 (
.a({\u_logic/Dzvpw6 ,\u_logic/Dzvpw6 }),
.b({\u_logic/M6kax6 ,\u_logic/M6kax6 }),
.c({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.d({\u_logic/Wxjpw6 ,\u_logic/Wxjpw6 }),
.f({\u_logic/Ds4ju6_lutinv ,\u_logic/Wr4ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~A)"),
//.LUTF1("(D*C*B*~A)"),
//.LUTG0("(~D*~C*B*~A)"),
//.LUTG1("(D*C*B*~A)"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b0100000000000000),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b0100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u675|u_logic/_al_u677 (
.a({\u_logic/Dzvpw6 ,\u_logic/Dzvpw6 }),
.b({\u_logic/M6kax6 ,\u_logic/M6kax6 }),
.c({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.d({\u_logic/Wxjpw6 ,\u_logic/Wxjpw6 }),
.f({\u_logic/Nq4ju6_lutinv ,\u_logic/Rs4ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u67|u_logic/_al_u71 (
.c({\u_logic/Yzspw6 ,\u_logic/Vynow6_lutinv }),
.d({\u_logic/I5xax6 ,\u_logic/Wjyiu6 }),
.f({\u_logic/Vynow6_lutinv ,\u_logic/Y5eiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*~A)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(D*~C*B*~A)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0000010000000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000010000000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u680|u_logic/_al_u1132 (
.a({open_n144475,\u_logic/Htmpw6 }),
.b({\u_logic/Shopw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Wxjpw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Fb9pw6_lutinv ,\u_logic/Vmipw6 }),
.f({\u_logic/Gq4ju6_lutinv ,\u_logic/Sjqow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u684|u_logic/_al_u640 (
.a({open_n144500,\u_logic/_al_u164_o }),
.b({open_n144501,\u_logic/T1vpw6 }),
.c({\u_logic/T1vpw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/Np7ow6_lutinv ,\u_logic/_al_u640_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*B*~(~0*~(C*A)))"),
//.LUTF1("(~C*~(~B*~D))"),
//.LUTG0("(D*B*~(~1*~(C*A)))"),
//.LUTG1("(~C*~(~B*~D))"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000111100001100),
.INIT_LUTG0(16'b1100110000000000),
.INIT_LUTG1(16'b0000111100001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u685|u_logic/_al_u652 (
.a({open_n144522,\u_logic/_al_u159_o }),
.b({\u_logic/Np7ow6_lutinv ,\u_logic/_al_u651_o }),
.c({\u_logic/P5vpw6 ,\u_logic/_al_u147_o }),
.d({\u_logic/_al_u124_o ,\u_logic/_al_u151_o }),
.e({open_n144525,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u685_o ,\u_logic/_al_u652_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17215)
EG_PHY_MSLICE #(
//.LUT0("~(C*B*~(D*~A))"),
//.LUT1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111111100111111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u686|u_logic/Vmipw6_reg (
.a({open_n144546,\u_logic/_al_u1058_o }),
.b({open_n144547,\u_logic/Gpyiu6 }),
.c({\u_logic/Xxupw6 ,\u_logic/_al_u1060_o }),
.ce(\u_logic/n3178 ),
.clk(clk_pad),
.d({\u_logic/Aujpw6 ,\u_logic/L45iu6_lutinv }),
.f({\u_logic/_al_u686_o ,open_n144561}),
.q({open_n144565,\u_logic/Vmipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17215)
// ../rtl/topmodule/cortexm0ds_logic.v(17732)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D*~(B*A)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(~C*~(D*~(B*A)))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011111110000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111011111110000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u688|u_logic/Xxupw6_reg (
.a({open_n144566,\u_logic/_al_u2384_o }),
.b({open_n144567,\u_logic/_al_u2390_o }),
.c({\u_logic/T1vpw6 ,\u_logic/_al_u2391_o }),
.clk(clk_pad),
.d({\u_logic/P5vpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u688_o ,open_n144585}),
.q({open_n144589,\u_logic/Xxupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17732)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*D*C*B))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~A*~(1*D*C*B))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0101010101010101),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u690|u_logic/_al_u2635 (
.a({open_n144590,\u_logic/_al_u2634_o }),
.b({open_n144591,\u_logic/_al_u1149_o }),
.c({\u_logic/Xxupw6 ,\u_logic/P5vpw6 }),
.d({\u_logic/T1vpw6 ,\u_logic/T1vpw6 }),
.e({open_n144594,\u_logic/Vygax6 }),
.f({\u_logic/_al_u690_o ,\u_logic/_al_u2635_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C*~B*~(D*A))"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001000000110000),
.MODE("LOGIC"))
\u_logic/_al_u691|u_logic/_al_u3768 (
.a({\u_logic/_al_u641_o ,\u_logic/_al_u162_o }),
.b({\u_logic/_al_u690_o ,\u_logic/D31ju6 }),
.c({\u_logic/Vgjpw6 ,\u_logic/Vgjpw6 }),
.d({\u_logic/Vzupw6 ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u691_o ,\u_logic/T05ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*(B@D))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*(B@D))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000001100001100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000001100001100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u693|u_logic/_al_u1574 (
.b({open_n144637,\u_logic/Vzupw6 }),
.c({\u_logic/Ufopw6 ,\u_logic/Yvjpw6 }),
.d({\u_logic/Iuaow6_lutinv ,\u_logic/Aujpw6 }),
.f({\u_logic/F3aiu6 ,\u_logic/_al_u1574_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*A*~(0*D))"),
//.LUT1("(C*~B*A*~(1*D))"),
.INIT_LUT0(16'b0010000000100000),
.INIT_LUT1(16'b0000000000100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u694 (
.a({\u_logic/_al_u687_o ,\u_logic/_al_u687_o }),
.b({\u_logic/_al_u689_o ,\u_logic/_al_u689_o }),
.c({\u_logic/_al_u691_o ,\u_logic/_al_u691_o }),
.d({\u_logic/F3aiu6 ,\u_logic/F3aiu6 }),
.mi({open_n144674,\u_logic/Ldoiu6_lutinv }),
.fx({open_n144679,\u_logic/_al_u694_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~A*~(D*~B))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0100000001010000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u695|u_logic/_al_u3749 (
.a({open_n144682,\u_logic/_al_u3747_o }),
.b({open_n144683,\u_logic/_al_u1416_o }),
.c({\u_logic/_al_u694_o ,\u_logic/_al_u3748_o }),
.d({\u_logic/Go0iu6_lutinv ,\u_logic/_al_u3725_o }),
.f({\u_logic/Idfpw6 [0],\u_logic/_al_u3749_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17879)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u696|u_logic/Tdypw6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Nq4ju6_lutinv ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r8_o[1] ,\u_logic/vis_r10_o[31] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[1] ,\u_logic/vis_r8_o[31] }),
.mi({open_n144714,\u_logic/Uoliu6 }),
.f({\u_logic/_al_u696_o ,\u_logic/_al_u891_o }),
.q({open_n144719,\u_logic/vis_r0_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(17879)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~1*~D*~C*~B*A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u69|u_logic/_al_u3095 (
.a({open_n144720,\u_logic/_al_u3094_o }),
.b({open_n144721,\u_logic/Xq2bx6 }),
.c({\u_logic/C1wpw6 ,\u_logic/Y0gbx6 }),
.d({\u_logic/M6eiu6 ,\u_logic/Yxrpw6 }),
.e({open_n144724,\u_logic/Z71bx6 }),
.f({\u_logic/n1009 ,\u_logic/_al_u3095_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u6|u_logic/_al_u2148 (
.b({open_n144747,\u_logic/Fnnpw6 }),
.c({\u_logic/Wwiax6 ,\u_logic/SLEEPHOLDACKn }),
.d({\u_logic/Vzjpw6 ,\u_logic/_al_u1101_o }),
.f({\u_logic/Vnfpw6 [4],\u_logic/_al_u2148_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u700 (
.c({open_n144772,\u_logic/_al_u694_o }),
.d({open_n144775,\u_logic/_al_u699_o }),
.f({open_n144789,\u_logic/Idfpw6 [1]}));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~B*~(~D*C)))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1000100010101000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u703|u_logic/_al_u2005 (
.a({open_n144795,\u_logic/_al_u1813_o }),
.b({open_n144796,\u_logic/Dxvpw6 }),
.c({\u_logic/Dxvpw6 ,\u_logic/Sojax6 }),
.d({\u_logic/Aujiu6 ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u703_o ,\u_logic/_al_u2005_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~C*~B*A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(D*~(~C*~B*A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1111110100000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111110100000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u704|u_logic/_al_u2010 (
.a({open_n144817,\u_logic/_al_u2006_o }),
.b({open_n144818,\u_logic/_al_u2009_o }),
.c({\u_logic/Wfspw6 ,\u_logic/_al_u1776_o }),
.d({\u_logic/S7mpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/R9aiu6 ,\u_logic/n3178 }));
EG_PHY_MSLICE #(
//.LUT0("(~(0*D*C)*~(B*A))"),
//.LUT1("(~(1*D*C)*~(B*A))"),
.INIT_LUT0(16'b0111011101110111),
.INIT_LUT1(16'b0000011101110111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u705 (
.a({\u_logic/Llaow6_lutinv ,\u_logic/Llaow6_lutinv }),
.b({\u_logic/_al_u703_o ,\u_logic/_al_u703_o }),
.c({\u_logic/_al_u154_o ,\u_logic/_al_u154_o }),
.d({\u_logic/R9aiu6 ,\u_logic/R9aiu6 }),
.mi({open_n144855,\u_logic/Wkipw6 }),
.fx({open_n144860,\u_logic/_al_u705_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u706|u_logic/_al_u2317 (
.c({\u_logic/Skjax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/P14qw6 ,\u_logic/P0kax6 }),
.f({\u_logic/_al_u706_o ,\u_logic/_al_u2317_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*C*~(D)*~(0)+~(B)*~(C)*D*~(0)+B*~(C)*D*~(0)+~(B)*C*D*~(0)+B*C*D*~(0)+~(B)*~(C)*~(D)*0+~(B)*C*~(D)*0+B*C*~(D)*0+~(B)*~(C)*D*0+~(B)*C*D*0+B*C*D*0))"),
//.LUTF1("(A*~(B*~(~0*~(D*C))))"),
//.LUTG0("(A*(B*C*~(D)*~(1)+~(B)*~(C)*D*~(1)+B*~(C)*D*~(1)+~(B)*C*D*~(1)+B*C*D*~(1)+~(B)*~(C)*~(D)*1+~(B)*C*~(D)*1+B*C*~(D)*1+~(B)*~(C)*D*1+~(B)*C*D*1+B*C*D*1))"),
//.LUTG1("(A*~(B*~(~1*~(D*C))))"),
.INIT_LUTF0(16'b1010101010000000),
.INIT_LUTF1(16'b0010101010101010),
.INIT_LUTG0(16'b1010001010100010),
.INIT_LUTG1(16'b0010001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u709|u_logic/_al_u2305 (
.a({\u_logic/_al_u705_o ,\u_logic/_al_u402_o }),
.b({\u_logic/Srbow6 ,\u_logic/Dxvpw6 }),
.c({\u_logic/Qxoiu6 ,\u_logic/P14qw6 }),
.d({\u_logic/P0kax6 ,\u_logic/Sojax6 }),
.e({\u_logic/Sojax6 ,\u_logic/U9ypw6 }),
.f({\u_logic/Mb1ju6 ,\u_logic/_al_u2305_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*C*B*A))"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(D*~(1*C*B*A))"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0111111100000000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u70|u_logic/_al_u4262 (
.a({open_n144913,\u_logic/_al_u4253_o }),
.b({\u_logic/W5ypw6 ,\u_logic/_al_u4256_o }),
.c({\u_logic/Ztupw6 ,\u_logic/Ydeow6 }),
.d({\u_logic/R9yax6 ,\u_logic/_al_u4261_o }),
.e({open_n144916,\u_logic/_al_u1942_o }),
.f({\u_logic/Wjyiu6 ,\u_logic/_al_u4262_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*~C*B*A)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(1*~D*~C*B*A)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u710|u_logic/_al_u3991 (
.a({open_n144937,\u_logic/_al_u159_o }),
.b({open_n144938,\u_logic/_al_u703_o }),
.c({\u_logic/U9ypw6 ,\u_logic/F6ziu6 }),
.d({\u_logic/Skjax6 ,\u_logic/_al_u1101_o }),
.e({open_n144941,\u_logic/Nbkiu6_lutinv }),
.f({\u_logic/Ya1ju6_lutinv ,\u_logic/_al_u3991_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~0*D*C*B))"),
//.LUT1("(A*~(~1*D*C*B))"),
.INIT_LUT0(16'b0010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u711 (
.a({\u_logic/Mb1ju6 ,\u_logic/Mb1ju6 }),
.b({\u_logic/Llaow6_lutinv ,\u_logic/Llaow6_lutinv }),
.c({\u_logic/Ya1ju6_lutinv ,\u_logic/Ya1ju6_lutinv }),
.d({\u_logic/P14qw6 ,\u_logic/P14qw6 }),
.mi({open_n144974,\u_logic/Sojax6 }),
.fx({open_n144979,\u_logic/_al_u711_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0100010001100110),
.INIT_LUT1(16'b0011011101100110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u715 (
.a({\u_logic/Dxvpw6 ,\u_logic/Dxvpw6 }),
.b({\u_logic/P14qw6 ,\u_logic/P14qw6 }),
.c({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Skjax6 ,\u_logic/Skjax6 }),
.mi({open_n144994,\u_logic/U9ypw6 }),
.fx({open_n144999,\u_logic/_al_u715_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*~A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(C*B)*~(D*~A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0010101000111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0010101000111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u716|u_logic/_al_u1607 (
.a({open_n145002,\u_logic/_al_u1605_o }),
.b({open_n145003,\u_logic/_al_u1606_o }),
.c({\u_logic/_al_u715_o ,\u_logic/N4kax6 }),
.d({\u_logic/Llaow6_lutinv ,\u_logic/P0kax6 }),
.f({\u_logic/P91ju6 ,\u_logic/_al_u1607_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~B*~(D*~C)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(A*~(~B*~(D*~C)))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1000101010001000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1000101010001000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u718|u_logic/_al_u1481 (
.a({open_n145028,\u_logic/_al_u718_o }),
.b({open_n145029,\u_logic/Fkrpw6 }),
.c({\u_logic/Ubypw6 ,\u_logic/Umkax6 }),
.d({\u_logic/_al_u395_o ,\u_logic/V6jax6 }),
.f({\u_logic/_al_u718_o ,\u_logic/_al_u1481_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~D*~C*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~A*~(~D*~C*B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0101010101010001),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0101010101010001),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u719|u_logic/_al_u1987 (
.a({open_n145054,\u_logic/Dk7ow6 }),
.b({open_n145055,\u_logic/_al_u718_o }),
.c({\u_logic/Fkrpw6 ,\u_logic/Fkrpw6 }),
.d({\u_logic/_al_u718_o ,\u_logic/Umkax6 }),
.f({\u_logic/_al_u719_o ,\u_logic/_al_u1987_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~A*~(~0*~D*~C)))"),
//.LUT1("(B*~(~A*~(~1*~D*~C)))"),
.INIT_LUT0(16'b1000100010001100),
.INIT_LUT1(16'b1000100010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u720 (
.a({\u_logic/_al_u719_o ,\u_logic/_al_u719_o }),
.b({\u_logic/L45iu6_lutinv ,\u_logic/L45iu6_lutinv }),
.c({\u_logic/_al_u395_o ,\u_logic/_al_u395_o }),
.d({\u_logic/Iekax6 ,\u_logic/Iekax6 }),
.mi({open_n145092,\u_logic/Lgkax6 }),
.fx({open_n145097,\u_logic/_al_u720_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17279)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*C))"),
//.LUT1("(C*~(~B*~(D*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011101110111),
.INIT_LUT1(16'b1110000011000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u721|u_logic/Wxjpw6_reg (
.a({\u_logic/_al_u397_o ,\u_logic/_al_u711_o }),
.b({\u_logic/_al_u402_o ,\u_logic/_al_u714_o }),
.c({\u_logic/Jgxpw6 ,\u_logic/P91ju6 }),
.ce(\u_logic/n3767 ),
.clk(clk_pad),
.d({\u_logic/Ssjax6 ,\u_logic/Jgxpw6 }),
.f({\u_logic/_al_u721_o ,open_n145113}),
.q({open_n145117,\u_logic/Wxjpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17279)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*A))"),
//.LUTF1("(~B*~A*~(D*C))"),
//.LUTG0("(~(D*C)*~(B*A))"),
//.LUTG1("(~B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000011101110111),
.INIT_LUTF1(16'b0000000100010001),
.INIT_LUTG0(16'b0000011101110111),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u722|u_logic/_al_u396 (
.a({\u_logic/_al_u720_o ,\u_logic/L45iu6_lutinv }),
.b({\u_logic/_al_u721_o ,\u_logic/_al_u395_o }),
.c({\u_logic/_al_u162_o ,\u_logic/_al_u162_o }),
.d({\u_logic/Lgkax6 ,\u_logic/Oikax6 }),
.f({\u_logic/_al_u722_o ,\u_logic/_al_u396_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u723|u_logic/_al_u702 (
.c({\u_logic/Skjax6 ,\u_logic/U9ypw6 }),
.d({\u_logic/Nbkiu6_lutinv ,\u_logic/Skjax6 }),
.f({\u_logic/_al_u723_o ,\u_logic/Aujiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~D*~C*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~A*~(~D*~C*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0101010101010001),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0101010101010001),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u724|u_logic/_al_u1495 (
.a({\u_logic/_al_u723_o ,\u_logic/_al_u1494_o }),
.b({\u_logic/A95iu6_lutinv ,\u_logic/_al_u723_o }),
.c({\u_logic/N4kax6 ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/S7mpw6 ,\u_logic/U9ypw6 }),
.f({\u_logic/Y75iu6 ,\u_logic/Hd8iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u726|u_logic/_al_u1541 (
.a({\u_logic/Oarpw6 ,\u_logic/Q8eiu6_lutinv }),
.b({\u_logic/P0ibx6 ,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/Pt7ax6 ,\u_logic/R7kpw6 }),
.d({\u_logic/Rfxax6 ,\u_logic/T9kpw6 }),
.f({\u_logic/_al_u726_o ,\u_logic/_al_u1541_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*~B*A)"),
//.LUT1("(~1*~D*~C*~B*A)"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u727 (
.a({\u_logic/_al_u726_o ,\u_logic/_al_u726_o }),
.b({\u_logic/Rv7ax6 ,\u_logic/Rv7ax6 }),
.c({\u_logic/Ss0qw6 ,\u_logic/Ss0qw6 }),
.d({\u_logic/T9kpw6 ,\u_logic/T9kpw6 }),
.mi({open_n145226,\u_logic/Tjkpw6 }),
.fx({open_n145231,\u_logic/_al_u727_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u729|u_logic/_al_u728 (
.a({\u_logic/_al_u728_o ,\u_logic/Ujxax6 }),
.b({\u_logic/Wlspw6 ,\u_logic/Uojbx6 }),
.c({\u_logic/Y7opw6 ,\u_logic/V0jpw6 }),
.d({\u_logic/Z8jpw6 ,\u_logic/Vrtpw6 }),
.f({\u_logic/_al_u729_o ,\u_logic/_al_u728_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17300)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u730|u_logic/Tjkpw6_reg (
.a({\u_logic/Kzabx6 ,open_n145258}),
.b({\u_logic/N0xpw6 ,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Nbxax6 ,\u_logic/Rhkpw6 }),
.clk(clk_pad),
.d({\u_logic/Nr7ax6 ,\u_logic/_al_u1525_o }),
.f({\u_logic/_al_u730_o ,open_n145273}),
.q({open_n145277,\u_logic/Tjkpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17300)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u731|u_logic/_al_u1527 (
.a({\u_logic/Amupw6 ,\u_logic/J8eiu6 }),
.b({\u_logic/Coupw6 ,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/F9gbx6 ,\u_logic/Z8jpw6 }),
.d({\u_logic/Johbx6 ,\u_logic/L6gpw6 [20]}),
.f({\u_logic/_al_u731_o ,\u_logic/_al_u1527_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u732 (
.a({open_n145302,\u_logic/_al_u727_o }),
.b({open_n145303,\u_logic/_al_u729_o }),
.c({open_n145304,\u_logic/_al_u730_o }),
.d({open_n145307,\u_logic/_al_u731_o }),
.f({open_n145325,\u_logic/Azeiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19062)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(C*B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u733|u_logic/I2zax6_reg (
.a({open_n145331,\u_logic/_al_u3283_o }),
.b({\u_logic/Lp7ax6 ,\u_logic/_al_u3284_o }),
.c({\u_logic/Zszax6 ,\u_logic/Y5eiu6 }),
.ce(\u_logic/n1199 ),
.clk(clk_pad),
.d({\u_logic/Wofiu6_lutinv ,\u_logic/Lp7ax6 }),
.mi({open_n145342,\u_logic/G3eiu6 }),
.sr(cpuresetn),
.f({\u_logic/Ozeiu6 ,\u_logic/Jaqiu6 }),
.q({open_n145346,\u_logic/I2zax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19062)
// ../rtl/topmodule/cortexm0ds_logic.v(17942)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*D))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001100110011),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u735|u_logic/Qj1qw6_reg (
.b({open_n145349,\u_logic/_al_u1041_o }),
.c({\u_logic/Cvciu6 ,\u_logic/Mh1qw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vowiu6 ,\u_logic/Vk1iu6 }),
.f({\u_logic/Ur4iu6 ,open_n145364}),
.q({open_n145368,\u_logic/Qj1qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17942)
// ../rtl/topmodule/cortexm0ds_logic.v(19146)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(D*~(~C*B*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b1111011100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u736|u_logic/Avzax6_reg (
.a({\u_logic/Ur4iu6 ,open_n145369}),
.b({\u_logic/Y5eiu6 ,\u_logic/Fpgiu6 }),
.c({\u_logic/C1wpw6 ,\u_logic/Kqhbx6 }),
.ce(\u_logic/n1008 ),
.clk(clk_pad),
.d({\u_logic/T2kbx6 ,\u_logic/Xsgow6 }),
.mi({open_n145380,\u_logic/I4eiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u736_o ,\u_logic/Tqgow6 }),
.q({open_n145384,\u_logic/Avzax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19146)
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(C*~B*D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"))
\u_logic/_al_u742|u_logic/_al_u681 (
.b({\u_logic/Jrypw6 ,\u_logic/Shopw6 }),
.c({\u_logic/Shopw6 ,\u_logic/Wxjpw6 }),
.d({\u_logic/Fb9pw6_lutinv ,\u_logic/Fb9pw6_lutinv }),
.f({\u_logic/_al_u742_o ,\u_logic/Jo4ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u745|u_logic/_al_u3653 (
.b({\u_logic/Jrypw6 ,open_n145409}),
.c({\u_logic/Shopw6 ,\u_logic/Y1qow6 }),
.d({\u_logic/Fb9pw6_lutinv ,\u_logic/_al_u3651_o }),
.f({\u_logic/_al_u745_o ,\u_logic/_al_u3653_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(D*~(C*B))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u74|u_logic/_al_u3053 (
.b({open_n145432,\u_logic/_al_u1942_o }),
.c({\u_logic/Yzspw6 ,\u_logic/Zdtpw6 }),
.d({\u_logic/I5xax6 ,\u_logic/_al_u3052_o }),
.f({\u_logic/Rzciu6_lutinv ,\u_logic/Wmviu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTG0("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTG0(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u752 (
.a({open_n145457,\u_logic/_al_u750_o }),
.b({open_n145458,\u_logic/_al_u751_o }),
.c({open_n145459,\u_logic/Nq4ju6_lutinv }),
.d({open_n145462,\u_logic/vis_r11_o[13] }),
.f({open_n145480,\u_logic/_al_u752_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u753|u_logic/_al_u751 (
.a({\u_logic/_al_u745_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Rs4ju6_lutinv ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r8_o[13] ,\u_logic/vis_r14_o[13] }),
.d({\u_logic/vis_psp_o[11] ,\u_logic/vis_r10_o[13] }),
.f({\u_logic/_al_u753_o ,\u_logic/_al_u751_o }));
EG_PHY_MSLICE #(
//.LUT0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUT1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.INIT_LUT0(16'b0111111101001100),
.INIT_LUT1(16'b0111111101001100),
.MODE("LOGIC"))
\u_logic/_al_u755|u_logic/_al_u952 (
.a({\u_logic/_al_u754_o ,\u_logic/_al_u951_o }),
.b({\u_logic/_al_u673_o ,\u_logic/_al_u673_o }),
.c({\u_logic/Bb0iu6 ,\u_logic/Pb0iu6 }),
.d({\u_logic/Xpxax6 ,\u_logic/C07bx6 }),
.f({\u_logic/_al_u755_o ,\u_logic/_al_u952_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u756|u_logic/_al_u953 (
.c({\u_logic/_al_u694_o ,\u_logic/_al_u694_o }),
.d({\u_logic/_al_u755_o ,\u_logic/_al_u952_o }),
.f({\u_logic/Idfpw6 [13],\u_logic/Idfpw6 [11]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18783)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u758|u_logic/Ntnax6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Ljqow6 }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Qiqow6 }),
.c({\u_logic/vis_r8_o[14] ,\u_logic/vis_r9_o[18] }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[14] ,\u_logic/vis_r11_o[18] }),
.mi({open_n145564,\u_logic/D4miu6 }),
.f({\u_logic/_al_u758_o ,\u_logic/_al_u1312_o }),
.q({open_n145569,\u_logic/vis_r14_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(18783)
// ../rtl/topmodule/cortexm0ds_logic.v(19773)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u759|u_logic/Cg7bx6_reg (
.a({\u_logic/_al_u757_o ,\u_logic/_al_u1338_o }),
.b({\u_logic/_al_u758_o ,\u_logic/K7yow6 }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/Yfqow6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[14] ,\u_logic/vis_psp_o[20] }),
.mi({open_n145580,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u759_o ,\u_logic/_al_u1340_o }),
.q({open_n145585,\u_logic/vis_r11_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19773)
// ../rtl/topmodule/cortexm0ds_logic.v(19260)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u75|u_logic/Qx0bx6_reg (
.a({open_n145586,HWDATA[27]}),
.b({open_n145587,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Rzciu6_lutinv ,\u_logic/Qx0bx6 }),
.clk(clk_pad),
.d({\u_logic/Xznow6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/Fpgiu6 ,open_n145601}),
.q({open_n145605,\u_logic/Qx0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19260)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u76 (
.c({open_n145610,\u_logic/C1wpw6 }),
.d({open_n145613,\u_logic/Fpgiu6 }),
.f({open_n145631,\u_logic/n1199 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18884)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u760|u_logic/Eetax6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/Hhqow6 }),
.b({\u_logic/_al_u745_o ,\u_logic/Ahqow6 }),
.c({\u_logic/vis_r14_o[14] ,\u_logic/vis_r12_o[10] }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[12] ,\u_logic/vis_r14_o[10] }),
.mi({open_n145640,\u_logic/Fyliu6 }),
.f({\u_logic/_al_u760_o ,\u_logic/_al_u1255_o }),
.q({open_n145656,\u_logic/vis_r12_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(18884)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u761|u_logic/_al_u767 (
.a({\u_logic/_al_u759_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u760_o ,\u_logic/_al_u745_o }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/vis_r14_o[15] }),
.d({\u_logic/vis_r11_o[14] ,\u_logic/vis_psp_o[13] }),
.f({\u_logic/_al_u761_o ,\u_logic/_al_u767_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTF1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.INIT_LUTF0(16'b0111111101001100),
.INIT_LUTF1(16'b0111111101001100),
.INIT_LUTG0(16'b0111111101001100),
.INIT_LUTG1(16'b0111111101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u762|u_logic/_al_u945 (
.a({\u_logic/_al_u761_o ,\u_logic/_al_u944_o }),
.b({\u_logic/_al_u673_o ,\u_logic/_al_u673_o }),
.c({\u_logic/Ua0iu6 ,\u_logic/Wb0iu6 }),
.d({\u_logic/Sb8ax6 ,\u_logic/Gwxpw6 }),
.f({\u_logic/_al_u762_o ,\u_logic/_al_u945_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u763|u_logic/_al_u946 (
.c({\u_logic/_al_u694_o ,\u_logic/_al_u694_o }),
.d({\u_logic/_al_u762_o ,\u_logic/_al_u945_o }),
.f({\u_logic/Idfpw6 [14],\u_logic/Idfpw6 [10]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u766|u_logic/_al_u1278 (
.a({\u_logic/_al_u764_o ,\u_logic/Qiqow6 }),
.b({\u_logic/_al_u765_o ,\u_logic/Sjqow6 }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/vis_r9_o[13] }),
.d({\u_logic/vis_r12_o[15] ,\u_logic/vis_r10_o[13] }),
.f({\u_logic/_al_u766_o ,\u_logic/_al_u1278_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u768|u_logic/_al_u750 (
.a({\u_logic/_al_u766_o ,\u_logic/Gq4ju6_lutinv }),
.b({\u_logic/_al_u767_o ,\u_logic/_al_u742_o }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/vis_r12_o[13] }),
.d({\u_logic/vis_r11_o[15] ,\u_logic/vis_msp_o[11] }),
.f({\u_logic/_al_u768_o ,\u_logic/_al_u750_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u770|u_logic/_al_u242 (
.c({\u_logic/_al_u694_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u769_o ,\u_logic/S90iu6 }),
.f({\u_logic/Idfpw6 [15],\u_logic/Mifpw6 [18]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1010000011110000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1010000000110000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u777|u_logic/_al_u3819 (
.a({open_n145805,\u_logic/Ro8ju6_lutinv }),
.b({open_n145806,\u_logic/C1epw6 }),
.c({\u_logic/_al_u694_o ,\u_logic/_al_u3818_o }),
.d({\u_logic/_al_u776_o ,\u_logic/_al_u952_o }),
.e({open_n145809,\u_logic/Ys4ju6 }),
.f({\u_logic/Idfpw6 [16],\u_logic/_al_u3819_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*C)*~(D*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~A*~(1*C)*~(D*B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0001000101010101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u77|u_logic/_al_u3060 (
.a({open_n145830,\u_logic/_al_u2282_o }),
.b({open_n145831,\u_logic/F0eow6 }),
.c({\u_logic/Yzspw6 ,\u_logic/M0eow6 }),
.d({\u_logic/I5xax6 ,\u_logic/Pv0bx6 }),
.e({open_n145834,\u_logic/Rm2bx6 }),
.f({\u_logic/Pjyiu6 ,\u_logic/Wfviu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1010000011110000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1010000000110000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u784|u_logic/_al_u3731 (
.a({open_n145855,\u_logic/C59ju6_lutinv }),
.b({open_n145856,\u_logic/X1epw6 }),
.c({\u_logic/_al_u694_o ,\u_logic/_al_u3730_o }),
.d({\u_logic/_al_u783_o ,\u_logic/_al_u762_o }),
.e({open_n145859,\u_logic/Ys4ju6 }),
.f({\u_logic/Idfpw6 [17],\u_logic/_al_u3731_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17228)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u786|u_logic/X2jpw6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/_al_u841_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/_al_u842_o }),
.c({\u_logic/vis_r8_o[18] ,\u_logic/Gq4ju6_lutinv }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[18] ,\u_logic/vis_r12_o[26] }),
.mi({open_n145890,\u_logic/E1miu6 }),
.f({\u_logic/_al_u786_o ,\u_logic/_al_u843_o }),
.q({open_n145895,\u_logic/vis_r11_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(17228)
// ../rtl/topmodule/cortexm0ds_logic.v(19771)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u787|u_logic/Cc7bx6_reg (
.a({\u_logic/_al_u785_o ,\u_logic/_al_u1253_o }),
.b({\u_logic/_al_u786_o ,\u_logic/Fgqow6 }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/Yfqow6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[18] ,\u_logic/vis_psp_o[8] }),
.e({open_n145896,\u_logic/vis_msp_o[8] }),
.mi({open_n145898,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u787_o ,\u_logic/_al_u1254_o }),
.q({open_n145914,\u_logic/vis_r9_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19771)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u789|u_logic/_al_u949 (
.a({\u_logic/_al_u787_o ,\u_logic/_al_u947_o }),
.b({\u_logic/_al_u788_o ,\u_logic/_al_u948_o }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/Nq4ju6_lutinv }),
.d({\u_logic/vis_r11_o[18] ,\u_logic/vis_r11_o[11] }),
.f({\u_logic/_al_u789_o ,\u_logic/_al_u949_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u78|u_logic/_al_u2986 (
.b({open_n145937,\u_logic/R9yax6 }),
.c({\u_logic/C1wpw6 ,\u_logic/W5ypw6 }),
.d({\u_logic/Pjyiu6 ,\u_logic/Pjyiu6 }),
.f({\u_logic/Kkyiu6 ,\u_logic/M0eow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u792|u_logic/_al_u1318 (
.a({\u_logic/_al_u742_o ,\u_logic/_al_u1317_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Ljqow6 }),
.c({\u_logic/vis_r9_o[19] ,\u_logic/Qiqow6 }),
.d({\u_logic/vis_msp_o[17] ,\u_logic/vis_r9_o[19] }),
.e({open_n145960,\u_logic/vis_r11_o[19] }),
.f({\u_logic/_al_u792_o ,\u_logic/_al_u1318_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17526)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*A*~(0*D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*~B*A*~(1*D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000000100000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u795|u_logic/Otopw6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u1318_o }),
.b({\u_logic/_al_u745_o ,\u_logic/_al_u1319_o }),
.c({\u_logic/vis_psp_o[17] ,\u_logic/F0zow6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[19] ,\u_logic/Fgqow6 }),
.e({open_n145981,\u_logic/vis_msp_o[17] }),
.mi({open_n145983,\u_logic/X1liu6 }),
.f({\u_logic/_al_u795_o ,\u_logic/_al_u1321_o }),
.q({open_n145999,\u_logic/vis_r9_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(17526)
// ../rtl/topmodule/cortexm0ds_logic.v(17528)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u796|u_logic/Oxopw6_reg (
.a({\u_logic/_al_u794_o ,\u_logic/Gkqow6 }),
.b({\u_logic/_al_u795_o ,\u_logic/Sjqow6 }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/vis_r8_o[10] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[19] ,\u_logic/vis_r10_o[10] }),
.mi({open_n146010,\u_logic/Fyliu6 }),
.f({\u_logic/_al_u796_o ,\u_logic/_al_u1252_o }),
.q({open_n146015,\u_logic/vis_r9_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(17528)
// ../rtl/topmodule/cortexm0ds_logic.v(17301)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUT1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111111101001100),
.INIT_LUT1(16'b0111111101001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u797|u_logic/Vlkpw6_reg (
.a({\u_logic/_al_u796_o ,\u_logic/_al_u803_o }),
.b({\u_logic/_al_u673_o ,\u_logic/_al_u673_o }),
.c({\u_logic/L90iu6 ,\u_logic/X80iu6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/T6kbx6 ,\u_logic/Fjdbx6 }),
.mi({open_n146026,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u797_o ,\u_logic/_al_u804_o }),
.q({open_n146031,\u_logic/vis_r11_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(17301)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u798|u_logic/_al_u903 (
.c({\u_logic/_al_u694_o ,\u_logic/_al_u694_o }),
.d({\u_logic/_al_u797_o ,\u_logic/_al_u902_o }),
.f({\u_logic/Idfpw6 [19],\u_logic/Idfpw6 [4]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u799|u_logic/_al_u842 (
.a({\u_logic/_al_u742_o ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r9_o[20] ,\u_logic/vis_r8_o[26] }),
.d({\u_logic/vis_msp_o[18] ,\u_logic/vis_r10_o[26] }),
.f({\u_logic/_al_u799_o ,\u_logic/_al_u842_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u7|u_logic/_al_u9 (
.c({\u_logic/Wyiax6 ,\u_logic/Ysiax6 }),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.f({\u_logic/Vnfpw6 [5],\u_logic/Vnfpw6 [2]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18740)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u801|u_logic/Cglax6_reg (
.a({\u_logic/_al_u799_o ,\u_logic/_al_u742_o }),
.b({\u_logic/_al_u800_o ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/vis_r9_o[27] }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[20] ,\u_logic/vis_msp_o[25] }),
.mi({open_n146111,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u801_o ,\u_logic/_al_u848_o }),
.q({open_n146127,\u_logic/vis_r10_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18740)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u805|u_logic/_al_u3728 (
.b({open_n146130,\u_logic/Cg5ju6_lutinv }),
.c({\u_logic/_al_u694_o ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/_al_u804_o ,\u_logic/X1epw6 }),
.f({\u_logic/Idfpw6 [20],\u_logic/C59ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17780)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u806|u_logic/Jpvpw6_reg (
.a({\u_logic/_al_u742_o ,\u_logic/_al_u1330_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Ljqow6 }),
.c({\u_logic/vis_r9_o[21] ,\u_logic/Sjqow6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[19] ,\u_logic/vis_r11_o[21] }),
.e({open_n146155,\u_logic/vis_r10_o[21] }),
.mi({open_n146157,\u_logic/D4miu6 }),
.f({\u_logic/_al_u806_o ,\u_logic/_al_u1331_o }),
.q({open_n146173,\u_logic/vis_r11_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(17780)
// ../rtl/topmodule/cortexm0ds_logic.v(18886)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u808|u_logic/Eitax6_reg (
.a({\u_logic/_al_u806_o ,\u_logic/Qiqow6 }),
.b({\u_logic/_al_u807_o ,\u_logic/Sjqow6 }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/vis_r9_o[14] }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[21] ,\u_logic/vis_r10_o[14] }),
.mi({open_n146184,\u_logic/D4miu6 }),
.f({\u_logic/_al_u808_o ,\u_logic/_al_u1287_o }),
.q({open_n146189,\u_logic/vis_r12_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(18886)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*C*~B*A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u80|u_logic/_al_u105 (
.a({open_n146190,\u_logic/Vynow6_lutinv }),
.b({open_n146191,\u_logic/R9yax6 }),
.c({\u_logic/Vynow6_lutinv ,\u_logic/W5ypw6 }),
.d({\u_logic/Xznow6 ,\u_logic/Ztupw6 }),
.f({\u_logic/S1fiu6 ,\u_logic/E1fiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u810|u_logic/_al_u1260 (
.a({\u_logic/_al_u808_o ,\u_logic/Ljqow6 }),
.b({\u_logic/_al_u809_o ,\u_logic/Qiqow6 }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/vis_r9_o[11] }),
.d({\u_logic/vis_r11_o[21] ,\u_logic/vis_r11_o[11] }),
.f({\u_logic/_al_u810_o ,\u_logic/_al_u1260_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0111111101001100),
.MODE("LOGIC"))
\u_logic/_al_u811|u_logic/_al_u1298 (
.a({\u_logic/_al_u810_o ,\u_logic/Dfqow6 }),
.b({\u_logic/_al_u673_o ,\u_logic/Hhqow6 }),
.c({\u_logic/Q80iu6 ,\u_logic/Z47ax6 }),
.d({\u_logic/M2ebx6 ,\u_logic/vis_r12_o[15] }),
.f({\u_logic/_al_u811_o ,\u_logic/_al_u1298_o }));
EG_PHY_MSLICE #(
//.LUT0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0111111101001100),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u812|u_logic/_al_u769 (
.a({open_n146260,\u_logic/_al_u768_o }),
.b({open_n146261,\u_logic/_al_u673_o }),
.c({\u_logic/_al_u694_o ,\u_logic/Na0iu6 }),
.d({\u_logic/_al_u811_o ,\u_logic/Z47ax6 }),
.f({\u_logic/Idfpw6 [21],\u_logic/_al_u769_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u813|ethernet_i0/mac_test0/reg0_b1 (
.a({\u_logic/_al_u742_o ,\u_logic/_al_u792_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/_al_u793_o }),
.c({\u_logic/vis_msp_o[20] ,\u_logic/Gq4ju6_lutinv }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\u_logic/vis_r9_o[22] ,\u_logic/vis_r12_o[19] }),
.mi({open_n146293,\ethernet_i0/gmii_rxd [1]}),
.sr(RSTn_pad),
.f({\u_logic/_al_u813_o ,\u_logic/_al_u794_o }),
.q({open_n146297,\ethernet_i0/mac_test0/gmii_rxd_d0 [1]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u816|u_logic/_al_u765 (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/_al_u745_o ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r14_o[22] ,\u_logic/vis_r10_o[15] }),
.d({\u_logic/vis_psp_o[20] ,\u_logic/vis_r8_o[15] }),
.f({\u_logic/_al_u816_o ,\u_logic/_al_u765_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u817|u_logic/_al_u947 (
.a({\u_logic/_al_u815_o ,\u_logic/_al_u742_o }),
.b({\u_logic/_al_u816_o ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/vis_r9_o[11] }),
.d({\u_logic/vis_r12_o[22] ,\u_logic/vis_msp_o[9] }),
.f({\u_logic/_al_u817_o ,\u_logic/_al_u947_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1100110000001111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u819|u_logic/_al_u3788 (
.b({open_n146344,\u_logic/Wc5ju6_lutinv }),
.c({\u_logic/_al_u694_o ,\u_logic/Ys4ju6 }),
.d({\u_logic/_al_u818_o ,\u_logic/_al_u748_o }),
.f({\u_logic/Idfpw6 [22],\u_logic/_al_u3788_o }));
// ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u821|ethernet_i0/mac_test0/reg0_b4 (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Gkqow6 }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r10_o[23] ,\u_logic/vis_r10_o[23] }),
.clk(\ethernet_i0/gmii_rx_clk ),
.d({\u_logic/vis_r8_o[23] ,\u_logic/vis_r8_o[23] }),
.mi({open_n146369,\ethernet_i0/gmii_rxd [4]}),
.sr(RSTn_pad),
.f({\u_logic/_al_u821_o ,\u_logic/_al_u1343_o }),
.q({open_n146384,\ethernet_i0/mac_test0/gmii_rxd_d0 [4]})); // ../rtl/Ethernet/sources_1/mac/mac_test_iq_audio_udp_output.v(184)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u826|u_logic/_al_u615 (
.c({\u_logic/_al_u694_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u825_o ,\u_logic/Q10iu6 }),
.f({\u_logic/Idfpw6 [23],\u_logic/Tgfpw6 [16]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18066)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u830|u_logic/Gt6ax6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u742_o }),
.b({\u_logic/_al_u745_o ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_r14_o[24] ,\u_logic/vis_msp_o[22] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[22] ,\u_logic/vis_r9_o[24] }),
.mi({open_n146416,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u830_o ,\u_logic/_al_u827_o }),
.q({open_n146432,\u_logic/vis_r5_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18066)
// ../rtl/topmodule/cortexm0ds_logic.v(18113)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u831|u_logic/Sz7ax6_reg (
.a({\u_logic/_al_u829_o ,\u_logic/_al_u1188_o }),
.b({\u_logic/_al_u830_o ,\u_logic/Fgqow6 }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/Yfqow6 }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[24] ,\u_logic/vis_psp_o[4] }),
.e({open_n146433,\u_logic/vis_msp_o[4] }),
.mi({open_n146435,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u831_o ,\u_logic/_al_u1189_o }),
.q({open_n146451,\u_logic/vis_r1_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18113)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u833|u_logic/_al_u840 (
.c({\u_logic/_al_u694_o ,\u_logic/_al_u694_o }),
.d({\u_logic/_al_u832_o ,\u_logic/_al_u839_o }),
.f({\u_logic/Idfpw6 [24],\u_logic/Idfpw6 [25]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18812)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u837|u_logic/Efpax6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u745_o ,\u_logic/_al_u745_o }),
.c({\u_logic/vis_r14_o[25] ,\u_logic/vis_psp_o[4] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[23] ,\u_logic/vis_r14_o[6] }),
.mi({open_n146483,\u_logic/Bamiu6 }),
.f({\u_logic/_al_u837_o ,\u_logic/_al_u879_o }),
.q({open_n146499,\u_logic/vis_r6_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(18812)
// ../rtl/topmodule/cortexm0ds_logic.v(18843)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0111111101001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u839|u_logic/U4rax6_reg (
.a({\u_logic/_al_u838_o ,\u_logic/Dfqow6 }),
.b({\u_logic/_al_u673_o ,\u_logic/Hhqow6 }),
.c({\u_logic/O70iu6 ,\u_logic/vis_r12_o[6] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/Nwbbx6 ,\u_logic/Ua9bx6 }),
.mi({open_n146510,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u839_o ,\u_logic/_al_u1190_o }),
.q({open_n146515,\u_logic/vis_r2_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(18843)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u847|u_logic/_al_u3758 (
.b({open_n146518,\u_logic/Cg5ju6_lutinv }),
.c({\u_logic/_al_u694_o ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/_al_u846_o ,\u_logic/L2epw6 }),
.f({\u_logic/Idfpw6 [26],\u_logic/Fa9ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(19846)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u84|u_logic/Pz9bx6_reg (
.a({\u_logic/Vynow6_lutinv ,\u_logic/Pjyiu6 }),
.b({\u_logic/R9yax6 ,\u_logic/R9yax6 }),
.c({\u_logic/W5ypw6 ,\u_logic/W5ypw6 }),
.ce(\u_logic/n1110 ),
.clk(clk_pad),
.d({\u_logic/Ztupw6 ,\u_logic/Ztupw6 }),
.mi({open_n146549,HWDATA[6]}),
.sr(cpuresetn),
.f({\u_logic/Q0fiu6 ,\u_logic/U2fiu6 }),
.q({open_n146553,\u_logic/Pz9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19846)
// ../rtl/topmodule/cortexm0ds_logic.v(17229)
EG_PHY_LSLICE #(
//.LUTF0("~(C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(C*D)"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u854|u_logic/X4jpw6_reg (
.c({\u_logic/_al_u694_o ,\u_logic/Z1miu6 }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u853_o ,\u_logic/_al_u4537_o }),
.f({\u_logic/Idfpw6 [27],\u_logic/E1miu6 }),
.q({open_n146578,\u_logic/vis_psp_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(17229)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTF1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.INIT_LUTF0(16'b0111111101001100),
.INIT_LUTF1(16'b0111111101001100),
.INIT_LUTG0(16'b0111111101001100),
.INIT_LUTG1(16'b0111111101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u860|u_logic/_al_u832 (
.a({\u_logic/_al_u859_o ,\u_logic/_al_u831_o }),
.b({\u_logic/_al_u673_o ,\u_logic/_al_u673_o }),
.c({\u_logic/_al_u307_o ,\u_logic/V70iu6 }),
.d({\u_logic/Ibqpw6 ,\u_logic/Tgkbx6 }),
.f({\u_logic/_al_u860_o ,\u_logic/_al_u832_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17779)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u862|u_logic/Jnvpw6_reg (
.a({\u_logic/_al_u742_o ,\u_logic/Ljqow6 }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Qiqow6 }),
.c({\u_logic/vis_r9_o[29] ,\u_logic/vis_r11_o[29] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[27] ,\u_logic/vis_r9_o[29] }),
.mi({open_n146613,\u_logic/D4miu6 }),
.f({\u_logic/_al_u862_o ,\u_logic/_al_u1405_o }),
.q({open_n146618,\u_logic/vis_r9_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(17779)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~((D@A))+C*B*~((D@A))+~(C)*B*(D@A)+C*B*(D@A))"),
//.LUTF1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG0("(C*~(B)*~((D@A))+C*B*~((D@A))+~(C)*B*(D@A)+C*B*(D@A))"),
//.LUTG1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.INIT_LUTF0(16'b1110010011011000),
.INIT_LUTF1(16'b0111111101001100),
.INIT_LUTG0(16'b1110010011011000),
.INIT_LUTG1(16'b0111111101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u867|u_logic/_al_u3719 (
.a({\u_logic/_al_u866_o ,\u_logic/_al_u1652_o }),
.b({\u_logic/_al_u673_o ,\u_logic/Cg5ju6_lutinv }),
.c({\u_logic/M60iu6 ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/Sx3qw6 ,\u_logic/_al_u1446_o }),
.f({\u_logic/_al_u867_o ,\u_logic/Fv9ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(19788)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~B*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(C*~B*D)"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100111111111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100111111111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u868|u_logic/Zz7bx6_reg (
.b({open_n146645,\u_logic/_al_u4574_o }),
.c({\u_logic/_al_u694_o ,\u_logic/Ngmiu6 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u867_o ,\u_logic/_al_u4589_o }),
.f({\u_logic/Idfpw6 [29],\u_logic/Zfmiu6 }),
.q({open_n146666,\u_logic/vis_r8_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19788)
// ../rtl/topmodule/cortexm0ds_logic.v(20171)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u870|u_logic/Rfibx6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/_al_u1383_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r10_o[30] ,\u_logic/Yfqow6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[30] ,\u_logic/vis_msp_o[26] }),
.e({open_n146667,\u_logic/vis_psp_o[26] }),
.mi({open_n146669,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u870_o ,\u_logic/_al_u1384_o }),
.q({open_n146685,\u_logic/vis_r10_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20171)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B)*~(~0*A))"),
//.LUTF1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG0("(~C*~(~D*B)*~(~1*A))"),
//.LUTG1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b0111111101001100),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0111111101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u874|u_logic/_al_u3884 (
.a({\u_logic/_al_u873_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u673_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/Y50iu6 ,\u_logic/_al_u3883_o }),
.d({\u_logic/F6dbx6 ,\u_logic/Ga0iu6 }),
.e({open_n146688,\u_logic/S90iu6 }),
.f({\u_logic/_al_u874_o ,\u_logic/_al_u3884_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(D@(C*~(B*~A)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(D@(C*~(B*~A)))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1011000001001111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1011000001001111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u875|u_logic/_al_u1634 (
.a({open_n146709,\u_logic/_al_u1265_o }),
.b({open_n146710,\u_logic/_al_u1442_o }),
.c({\u_logic/_al_u694_o ,\u_logic/_al_u1633_o }),
.d({\u_logic/_al_u874_o ,\u_logic/_al_u1446_o }),
.f({\u_logic/Idfpw6 [30],\u_logic/C1epw6 }));
EG_PHY_LSLICE #(
//.LUTF0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("(~D*~C*B*~A)"),
//.LUTG0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("(~D*~C*B*~A)"),
.INIT_LUTF0(16'b0010001111101111),
.INIT_LUTF1(16'b0000000000000100),
.INIT_LUTG0(16'b0010001111101111),
.INIT_LUTG1(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u87|u_logic/_al_u1004 (
.a({\u_logic/D43qw6 ,\u_logic/_al_u633_o }),
.b({\u_logic/Di3qw6 ,\u_logic/_al_u657_o }),
.c({\u_logic/Le2qw6 ,\u_logic/Jfdbx6 }),
.d({\u_logic/Pe7ax6 ,\u_logic/Sddbx6 }),
.f({\u_logic/Vuciu6 ,\u_logic/Rh2iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u882|u_logic/_al_u749 (
.c({\u_logic/_al_u694_o ,\u_logic/_al_u694_o }),
.d({\u_logic/_al_u881_o ,\u_logic/_al_u748_o }),
.f({\u_logic/Idfpw6 [6],\u_logic/Idfpw6 [12]}));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG0("(~C*D)"),
//.LUTG1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0111111101001100),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0111111101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u888|u_logic/_al_u1452 (
.a({\u_logic/_al_u887_o ,open_n146783}),
.b({\u_logic/_al_u673_o ,open_n146784}),
.c({\u_logic/U30iu6 ,\u_logic/_al_u1446_o }),
.d({\u_logic/Kn1qw6 ,\u_logic/_al_u1442_o }),
.f({\u_logic/_al_u888_o ,\u_logic/A85ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u889|u_logic/_al_u2516 (
.a({open_n146809,\u_logic/_al_u2301_o }),
.b({open_n146810,\u_logic/_al_u2293_o }),
.c({\u_logic/_al_u694_o ,\u_logic/_al_u853_o }),
.d({\u_logic/_al_u888_o ,\u_logic/N5fpw6 [26]}),
.f({\u_logic/Idfpw6 [9],\u_logic/_al_u2516_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u88|u_logic/_al_u3244 (
.b({open_n146837,\u_logic/D43qw6 }),
.c({\u_logic/Cpwiu6 ,\u_logic/Hw8ax6 }),
.d({\u_logic/Vuciu6 ,\u_logic/Ffqiu6 }),
.f({\u_logic/Xs1iu6 ,\u_logic/_al_u3244_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTF1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.INIT_LUTF0(16'b0000100000101010),
.INIT_LUTF1(16'b0111111101001100),
.INIT_LUTG0(16'b0000100000101010),
.INIT_LUTG1(16'b0111111101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u895|u_logic/_al_u3869 (
.a({\u_logic/_al_u894_o ,\u_logic/_al_u3651_o }),
.b({\u_logic/_al_u673_o ,\u_logic/Y1qow6 }),
.c({\u_logic/R50iu6 ,\u_logic/U30iu6 }),
.d({\u_logic/Usnpw6 ,\u_logic/Pb0iu6 }),
.f({\u_logic/To2ju6_lutinv ,\u_logic/_al_u3869_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18765)
EG_PHY_MSLICE #(
//.LUT0("~(C*~B*D)"),
//.LUT1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100111111111111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u896|u_logic/Vtmax6_reg (
.b({open_n146888,\u_logic/_al_u4601_o }),
.c({\u_logic/_al_u694_o ,\u_logic/_al_u3757_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/To2ju6_lutinv ,\u_logic/_al_u4600_o }),
.f({\u_logic/Idfpw6 [31],\u_logic/X6niu6 }),
.q({open_n146905,\u_logic/vis_r10_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(18765)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u898|u_logic/_al_u913 (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r10_o[4] ,\u_logic/vis_r10_o[3] }),
.d({\u_logic/vis_r8_o[4] ,\u_logic/vis_r8_o[3] }),
.f({\u_logic/_al_u898_o ,\u_logic/_al_u913_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u89|u_logic/_al_u97 (
.c({\u_logic/Dg2qw6 ,\u_logic/Dg2qw6 }),
.d({\u_logic/Xs1iu6 ,\u_logic/Cs1iu6 }),
.f({\u_logic/n526 ,\u_logic/n528 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17503)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~C*~D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111111111110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u8|u_logic/Xbopw6_reg (
.c({\u_logic/Xuiax6 ,\u_logic/SLEEPHOLDACKn }),
.clk(clk_pad),
.d({\u_logic/Vzjpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.sr(cpuresetn),
.f({\u_logic/Vnfpw6 [3],open_n146975}),
.q({open_n146979,\u_logic/SLEEPHOLDACKn })); // ../rtl/topmodule/cortexm0ds_logic.v(17503)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.INIT_LUT0(16'b0000100000101010),
.INIT_LUT1(16'b0111111101001100),
.MODE("LOGIC"))
\u_logic/_al_u902|u_logic/_al_u3875 (
.a({\u_logic/_al_u901_o ,\u_logic/_al_u3651_o }),
.b({\u_logic/_al_u673_o ,\u_logic/Y1qow6 }),
.c({\u_logic/D50iu6 ,\u_logic/Ib0iu6 }),
.d({\u_logic/Wtxax6 ,\u_logic/Ua0iu6 }),
.f({\u_logic/_al_u902_o ,\u_logic/_al_u3875_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17893)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u906|u_logic/Evypw6_reg (
.a({\u_logic/_al_u904_o ,\u_logic/Ahqow6 }),
.b({\u_logic/_al_u905_o ,\u_logic/Qiqow6 }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/vis_r9_o[0] }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[2] ,\u_logic/vis_r14_o[0] }),
.mi({open_n147003,\u_logic/D39iu6 }),
.f({\u_logic/_al_u906_o ,\u_logic/_al_u1129_o }),
.q({open_n147019,\u_logic/vis_psp_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(17893)
EG_PHY_MSLICE #(
//.LUT0("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUT1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.INIT_LUT0(16'b1100111111000000),
.INIT_LUT1(16'b0111111101001100),
.MODE("LOGIC"))
\u_logic/_al_u909|u_logic/_al_u3697 (
.a({\u_logic/_al_u908_o ,open_n147020}),
.b({\u_logic/_al_u673_o ,\u_logic/_al_u3696_o }),
.c({\u_logic/F60iu6 ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/Xrxax6 ,\u_logic/_al_u3694_o }),
.f({\u_logic/Gh0iu6_lutinv ,\u_logic/Nk3ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(0*D*C))"),
//.LUT1("(~B*A*~(1*D*C))"),
.INIT_LUT0(16'b0010001000100010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u911 (
.a({\u_logic/Gh0iu6_lutinv ,\u_logic/Gh0iu6_lutinv }),
.b({\u_logic/_al_u694_o ,\u_logic/_al_u694_o }),
.c({\u_logic/_al_u651_o ,\u_logic/_al_u651_o }),
.d({\u_logic/_al_u910_o ,\u_logic/_al_u910_o }),
.mi({open_n147053,\u_logic/Vgjpw6 }),
.fx({open_n147058,\u_logic/Idfpw6 [2]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18739)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u912|u_logic/Delax6_reg (
.a({\u_logic/_al_u742_o ,\u_logic/_al_u897_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/_al_u898_o }),
.c({\u_logic/vis_r9_o[3] ,\u_logic/Nq4ju6_lutinv }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[1] ,\u_logic/vis_r11_o[4] }),
.mi({open_n147071,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u912_o ,\u_logic/_al_u899_o }),
.q({open_n147076,\u_logic/vis_r10_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18739)
// ../rtl/topmodule/cortexm0ds_logic.v(17525)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u914|u_logic/Propw6_reg (
.a({\u_logic/_al_u912_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u913_o ,\u_logic/_al_u745_o }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/vis_psp_o[3] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[3] ,\u_logic/vis_r14_o[5] }),
.mi({open_n147080,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u914_o ,\u_logic/_al_u922_o }),
.q({open_n147096,\u_logic/vis_r9_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17525)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTF1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.INIT_LUTF0(16'b0111111101001100),
.INIT_LUTF1(16'b0111111101001100),
.INIT_LUTG0(16'b0111111101001100),
.INIT_LUTG1(16'b0111111101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u917|u_logic/_al_u938 (
.a({\u_logic/_al_u916_o ,\u_logic/_al_u937_o }),
.b({\u_logic/_al_u673_o ,\u_logic/_al_u673_o }),
.c({\u_logic/K50iu6 ,\u_logic/I40iu6 }),
.d({\u_logic/T5yax6 ,\u_logic/Asupw6 }),
.f({\u_logic/_al_u917_o ,\u_logic/_al_u938_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u918|u_logic/_al_u925 (
.c({\u_logic/_al_u694_o ,\u_logic/_al_u694_o }),
.d({\u_logic/_al_u917_o ,\u_logic/_al_u924_o }),
.f({\u_logic/Idfpw6 [3],\u_logic/Idfpw6 [5]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u91|u_logic/_al_u95 (
.c({\u_logic/Dg2qw6 ,\u_logic/Dg2qw6 }),
.d({\u_logic/Vr1iu6 ,\u_logic/Dw1iu6 }),
.f({\u_logic/n525 ,\u_logic/n527 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18712)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u920|u_logic/N0lax6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/_al_u742_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_r10_o[5] ,\u_logic/vis_r9_o[2] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[5] ,\u_logic/vis_msp_o[0] }),
.mi({open_n147183,\u_logic/Tx8iu6 }),
.f({\u_logic/_al_u920_o ,\u_logic/_al_u904_o }),
.q({open_n147188,\u_logic/vis_r0_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18712)
// ../rtl/topmodule/cortexm0ds_logic.v(18745)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u921|u_logic/Aqlax6_reg (
.a({\u_logic/_al_u919_o ,\u_logic/Ljqow6 }),
.b({\u_logic/_al_u920_o ,\u_logic/Qiqow6 }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/vis_r9_o[5] }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[5] ,\u_logic/vis_r11_o[5] }),
.mi({open_n147192,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u921_o ,\u_logic/_al_u1180_o }),
.q({open_n147208,\u_logic/vis_r10_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(18745)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b0111111101001100),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b0111111101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u924|u_logic/_al_u3867 (
.a({\u_logic/_al_u923_o ,\u_logic/_al_u3651_o }),
.b({\u_logic/_al_u673_o ,\u_logic/Y1qow6 }),
.c({\u_logic/W40iu6 ,\u_logic/Ib0iu6 }),
.d({\u_logic/Qc5bx6 ,\u_logic/Wb0iu6 }),
.f({\u_logic/_al_u924_o ,\u_logic/_al_u3867_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18741)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u927|u_logic/Cilax6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/_al_u1390_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Gkqow6 }),
.c({\u_logic/vis_r8_o[8] ,\u_logic/Qiqow6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[8] ,\u_logic/vis_r8_o[30] }),
.e({open_n147233,\u_logic/vis_r9_o[30] }),
.mi({open_n147235,\u_logic/K39iu6 }),
.f({\u_logic/_al_u927_o ,\u_logic/_al_u1391_o }),
.q({open_n147251,\u_logic/vis_r10_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(18741)
// ../rtl/topmodule/cortexm0ds_logic.v(18067)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u929|u_logic/Gv6ax6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u1141_o }),
.b({\u_logic/_al_u745_o ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r14_o[8] ,\u_logic/Yfqow6 }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[6] ,\u_logic/vis_psp_o[6] }),
.e({open_n147252,\u_logic/vis_msp_o[6] }),
.mi({open_n147254,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u929_o ,\u_logic/_al_u1144_o }),
.q({open_n147270,\u_logic/vis_r0_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18067)
// ../rtl/topmodule/cortexm0ds_logic.v(18068)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u930|u_logic/Gx6ax6_reg (
.a({\u_logic/_al_u928_o ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/_al_u929_o ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/vis_r8_o[25] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[8] ,\u_logic/vis_r10_o[25] }),
.mi({open_n147281,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u930_o ,\u_logic/_al_u835_o }),
.q({open_n147286,\u_logic/vis_r1_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18068)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B)*~(~0*A))"),
//.LUTF1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG0("(~C*~(~D*B)*~(~1*A))"),
//.LUTG1("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
.INIT_LUTF0(16'b0000010100000001),
.INIT_LUTF1(16'b0111111101001100),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0111111101001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u931|u_logic/_al_u3930 (
.a({\u_logic/_al_u930_o ,\u_logic/_al_u3662_o }),
.b({\u_logic/_al_u673_o ,\u_logic/_al_u3663_o }),
.c({\u_logic/B40iu6 ,\u_logic/_al_u3929_o }),
.d({\u_logic/N61qw6 ,\u_logic/Q80iu6 }),
.e({open_n147289,\u_logic/C80iu6 }),
.f({\u_logic/_al_u931_o ,\u_logic/_al_u3930_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u932|u_logic/_al_u791 (
.c({\u_logic/_al_u694_o ,\u_logic/_al_u694_o }),
.d({\u_logic/_al_u931_o ,\u_logic/_al_u790_o }),
.f({\u_logic/Idfpw6 [8],\u_logic/Idfpw6 [18]}));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u933|u_logic/_al_u884 (
.a({\u_logic/_al_u742_o ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r9_o[7] ,\u_logic/vis_r8_o[9] }),
.d({\u_logic/vis_msp_o[5] ,\u_logic/vis_r10_o[9] }),
.f({\u_logic/_al_u933_o ,\u_logic/_al_u884_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17911)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u935|u_logic/Uuzpw6_reg (
.a({\u_logic/_al_u933_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u934_o ,\u_logic/_al_u745_o }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/vis_psp_o[5] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[7] ,\u_logic/vis_r14_o[7] }),
.mi({open_n147364,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u935_o ,\u_logic/_al_u936_o }),
.q({open_n147369,\u_logic/vis_msp_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17911)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u939 (
.c({open_n147374,\u_logic/_al_u694_o }),
.d({open_n147377,\u_logic/_al_u938_o }),
.f({open_n147391,\u_logic/Idfpw6 [7]}));
EG_PHY_MSLICE #(
//.LUT0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0010111000111111),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u93|u_logic/_al_u992 (
.a({open_n147397,\u_logic/_al_u633_o }),
.b({\u_logic/Di3qw6 ,\u_logic/_al_u657_o }),
.c({\u_logic/Le2qw6 ,\u_logic/E97ax6 }),
.d({\u_logic/_al_u92_o ,\u_logic/Xf8ax6 }),
.f({\u_logic/Avwiu6 ,\u_logic/_al_u992_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17782)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u941|u_logic/Jtvpw6_reg (
.a({\u_logic/_al_u742_o ,\u_logic/_al_u1252_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Ljqow6 }),
.c({\u_logic/vis_r9_o[10] ,\u_logic/Qiqow6 }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[8] ,\u_logic/vis_r9_o[10] }),
.e({open_n147418,\u_logic/vis_r11_o[10] }),
.mi({open_n147420,\u_logic/D4miu6 }),
.f({\u_logic/_al_u941_o ,\u_logic/_al_u1253_o }),
.q({open_n147436,\u_logic/vis_psp_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(17782)
// ../rtl/topmodule/cortexm0ds_logic.v(17851)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u942|u_logic/Hoxpw6_reg (
.a({\u_logic/_al_u940_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u941_o ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/Nq4ju6_lutinv ,\u_logic/vis_r14_o[10] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[10] ,\u_logic/vis_r10_o[10] }),
.mi({open_n147440,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u942_o ,\u_logic/_al_u940_o }),
.q({open_n147456,\u_logic/vis_r9_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(17851)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u944|u_logic/_al_u1296 (
.a({\u_logic/_al_u942_o ,\u_logic/_al_u1295_o }),
.b({\u_logic/_al_u943_o ,\u_logic/Ljqow6 }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/Gkqow6 }),
.d({\u_logic/vis_r12_o[10] ,\u_logic/vis_r11_o[15] }),
.e({open_n147459,\u_logic/vis_r8_o[15] }),
.f({\u_logic/_al_u944_o ,\u_logic/_al_u1296_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19770)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u948|u_logic/Ca7bx6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/_al_u745_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Rs4ju6_lutinv }),
.c({\u_logic/vis_r8_o[11] ,\u_logic/vis_psp_o[8] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[11] ,\u_logic/vis_r8_o[10] }),
.mi({open_n147490,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u948_o ,\u_logic/_al_u943_o }),
.q({open_n147495,\u_logic/vis_r8_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19770)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("~(~D*~((C*A))*~(B)+~D*(C*A)*~(B)+~(~D)*(C*A)*B+~D*(C*A)*B)"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0111111101001100),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0111111101001100),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u951|u_logic/_al_u790 (
.a({\u_logic/_al_u949_o ,\u_logic/_al_u789_o }),
.b({\u_logic/_al_u950_o ,\u_logic/_al_u673_o }),
.c({\u_logic/Gq4ju6_lutinv ,\u_logic/S90iu6 }),
.d({\u_logic/vis_r12_o[11] ,\u_logic/Syjbx6 }),
.f({\u_logic/_al_u951_o ,\u_logic/_al_u790_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*A*~(~B*~(~0*D)))"),
//.LUT1("(~C*A*~(~B*~(~1*D)))"),
.INIT_LUT0(16'b0000101000001000),
.INIT_LUT1(16'b0000100000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u955 (
.a({\u_logic/Mb1ju6 ,\u_logic/Mb1ju6 }),
.b({\u_logic/Llaow6_lutinv ,\u_logic/Llaow6_lutinv }),
.c({\u_logic/_al_u954_o ,\u_logic/_al_u954_o }),
.d({\u_logic/_al_u154_o ,\u_logic/_al_u154_o }),
.mi({open_n147532,\u_logic/Wkipw6 }),
.fx({open_n147537,\u_logic/Ir6ow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u957|u_logic/_al_u1959 (
.a({open_n147540,\u_logic/_al_u1066_o }),
.b({open_n147541,\u_logic/Ljbpw6_lutinv }),
.c({\u_logic/vis_ipsr_o[1] ,\u_logic/vis_ipsr_o[4] }),
.d({\u_logic/vis_ipsr_o[0] ,\u_logic/vis_ipsr_o[5] }),
.f({\u_logic/Ukbpw6_lutinv ,\u_logic/_al_u1959_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18375)
EG_PHY_MSLICE #(
//.LUT0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUT1("(C*B*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010111000111111),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u958|u_logic/Qsfax6_reg (
.a({open_n147566,\u_logic/_al_u633_o }),
.b({\u_logic/H9row6_lutinv ,\u_logic/_al_u657_o }),
.c({\u_logic/Ukbpw6_lutinv ,\u_logic/C72qw6 }),
.ce(\u_logic/n274 ),
.clk(clk_pad),
.d({\u_logic/M8row6_lutinv ,\u_logic/Wt3qw6 }),
.mi({open_n147577,\u_logic/Xxqpw6 }),
.sr(RSTn_pad),
.f({\u_logic/Hlliu6 ,\u_logic/P22iu6 }),
.q({open_n147581,\u_logic/Qsfax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18375)
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u959|u_logic/_al_u3799 (
.a({\u_logic/vis_pc_o[29] ,\u_logic/Pk4ju6 }),
.b({\u_logic/vis_pc_o[30] ,\u_logic/_al_u3723_o }),
.c({\u_logic/vis_pc_o[28] ,\u_logic/vis_ipsr_o[5] }),
.d({\u_logic/Zdiax6 ,\u_logic/mult0_0_0_5 }),
.f({\u_logic/_al_u959_o ,\u_logic/I46ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~D))"),
//.LUT1("(C*B*~D)"),
.INIT_LUT0(16'b1100110000001100),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"))
\u_logic/_al_u960|u_logic/_al_u4473 (
.b({\u_logic/_al_u959_o ,\u_logic/J9eow6 }),
.c({\u_logic/vis_pc_o[27] ,\u_logic/_al_u4450_o }),
.d({\u_logic/Hlliu6 ,\u_logic/_al_u4262_o }),
.f({\u_logic/_al_u960_o ,\u_logic/_al_u4473_o }));
EG_PHY_MSLICE #(
//.LUT0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0011000000111111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u961|u_logic/_al_u1608 (
.b({open_n147626,\u_logic/_al_u1462_o }),
.c({\u_logic/P5vpw6 ,\u_logic/P5vpw6 }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/_al_u961_o ,\u_logic/Kubow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*B)*~(~D*A))"),
//.LUTF1("(~B*~A*~(~D*~C))"),
//.LUTG0("(~(~C*B)*~(~D*A))"),
//.LUTG1("(~B*~A*~(~D*~C))"),
.INIT_LUTF0(16'b1111001101010001),
.INIT_LUTF1(16'b0001000100010000),
.INIT_LUTG0(16'b1111001101010001),
.INIT_LUTG1(16'b0001000100010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u964|u_logic/_al_u2157 (
.a({\u_logic/_al_u961_o ,\u_logic/T23ju6_lutinv }),
.b({\u_logic/P8aiu6_lutinv ,\u_logic/_al_u1095_o }),
.c({\u_logic/_al_u165_o ,\u_logic/Yp8iu6 }),
.d({\u_logic/_al_u963_o ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u964_o ,\u_logic/_al_u2157_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~B*~(~D*~(0*A))))"),
//.LUT1("(~C*~(~B*~(~D*~(1*A))))"),
.INIT_LUT0(16'b0000110000001111),
.INIT_LUT1(16'b0000110000001101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u966 (
.a({\u_logic/_al_u960_o ,\u_logic/_al_u960_o }),
.b({\u_logic/_al_u964_o ,\u_logic/_al_u964_o }),
.c({\u_logic/_al_u965_o ,\u_logic/_al_u965_o }),
.d({\u_logic/vis_control_o ,\u_logic/vis_control_o }),
.mi({open_n147683,\u_logic/vis_pc_o[1] }),
.fx({open_n147688,\u_logic/_al_u966_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18133)
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u96|u_logic/Ns8ax6_reg (
.a({open_n147691,\u_logic/Bo1iu6 }),
.b({open_n147692,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/Cpwiu6 ,\u_logic/Gyxpw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Avwiu6 ,\u_logic/H4ypw6 }),
.mi({open_n147703,\u_logic/Wq8ax6 }),
.f({\u_logic/Cs1iu6 ,\u_logic/_al_u3163_o }),
.q({open_n147708,\u_logic/Ns8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18133)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*~A))"),
//.LUTF1("(~(C*B)*~(D*~A))"),
//.LUTG0("(~(D*B)*~(C*~A))"),
//.LUTG1("(~(C*B)*~(D*~A))"),
.INIT_LUTF0(16'b0010001110101111),
.INIT_LUTF1(16'b0010101000111111),
.INIT_LUTG0(16'b0010001110101111),
.INIT_LUTG1(16'b0010101000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u972|u_logic/_al_u970 (
.a({\u_logic/_al_u712_o ,\u_logic/_al_u712_o }),
.b({\u_logic/Fb1ju6 ,\u_logic/Fb1ju6 }),
.c({\u_logic/P0kax6 ,\u_logic/Irmpw6 }),
.d({\u_logic/Wfspw6 ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u972_o ,\u_logic/_al_u970_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B*~A*~(~0*~C)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(D*~(B*~A*~(~1*~C)))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1011111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1011101100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u976|u_logic/_al_u2090 (
.a({open_n147733,\u_logic/_al_u2073_o }),
.b({open_n147734,\u_logic/_al_u2086_o }),
.c({\u_logic/Yvjpw6 ,\u_logic/_al_u2089_o }),
.d({\u_logic/R3vpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.e({open_n147737,\u_logic/Vygax6 }),
.f({\u_logic/_al_u976_o ,\u_logic/_al_u2090_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*D)*~(C*B))"),
//.LUT1("(~A*~(1*D)*~(C*B))"),
.INIT_LUT0(16'b0001010100010101),
.INIT_LUT1(16'b0000000000010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u977 (
.a({\u_logic/_al_u974_o ,\u_logic/_al_u974_o }),
.b({\u_logic/_al_u160_o ,\u_logic/_al_u160_o }),
.c({\u_logic/D6kiu6_lutinv ,\u_logic/D6kiu6_lutinv }),
.d({\u_logic/_al_u975_o ,\u_logic/_al_u975_o }),
.mi({open_n147770,\u_logic/_al_u976_o }),
.fx({open_n147775,\u_logic/Lu0iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*(B*~((~0*A))*~(D)+B*(~0*A)*~(D)+~(B)*(~0*A)*D+B*(~0*A)*D))"),
//.LUT1("(C*(B*~((~1*A))*~(D)+B*(~1*A)*~(D)+~(B)*(~1*A)*D+B*(~1*A)*D))"),
.INIT_LUT0(16'b1010000011000000),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u980 (
.a({\u_logic/T23ju6_lutinv ,\u_logic/T23ju6_lutinv }),
.b({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.c({\u_logic/vis_apsr_o[1] ,\u_logic/vis_apsr_o[1] }),
.d({\u_logic/Ufopw6 ,\u_logic/Ufopw6 }),
.mi({open_n147790,\u_logic/Yvjpw6 }),
.fx({open_n147795,\u_logic/Ct0iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(D)*~(B)*~(C)+D*~(B)*~(C)+~(D)*~(B)*C+~(D)*B*C+D*B*C)"),
//.LUT1("(D*~(~C*~B*A))"),
.INIT_LUT0(16'b1100001111110011),
.INIT_LUT1(16'b1111110100000000),
.MODE("LOGIC"))
\u_logic/_al_u983|u_logic/_al_u4582 (
.a({\u_logic/Uo0iu6 ,open_n147798}),
.b({\u_logic/_al_u981_o ,\u_logic/Ufopw6 }),
.c({\u_logic/_al_u982_o ,\u_logic/Xxupw6 }),
.d({\u_logic/Vgjpw6 ,\u_logic/Yp8iu6 }),
.f({\u_logic/Dqfhu6 ,\u_logic/_al_u4582_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*B*A*~(~D*~C))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(1*B*A*~(~D*~C))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1000100010000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u985|u_logic/_al_u1704 (
.a({open_n147819,\u_logic/Tezhu6 }),
.b({open_n147820,\u_logic/Oulpw6 }),
.c({\u_logic/Vplpw6 ,\u_logic/Qa1qw6 }),
.d({\u_logic/Y93iu6 ,\u_logic/Qj1qw6 }),
.e({open_n147823,\u_logic/Vplpw6 }),
.f({\u_logic/_al_u985_o ,\u_logic/Sbyhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(D*C*~B*~A)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0001000000000000),
.MODE("LOGIC"))
\u_logic/_al_u987|u_logic/_al_u984 (
.a({\u_logic/Z63iu6_lutinv ,open_n147844}),
.b({\u_logic/B7lpw6 ,\u_logic/Golpw6 }),
.c({\u_logic/Qynpw6 ,\u_logic/Zslpw6 }),
.d({\u_logic/Ryfax6 ,\u_logic/_al_u633_o }),
.f({\u_logic/_al_u987_o ,\u_logic/Y93iu6 }));
EG_PHY_MSLICE #(
//.LUT0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0010111000111111),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u98|u_logic/_al_u986 (
.a({open_n147865,\u_logic/_al_u633_o }),
.b({\u_logic/Sqwpw6 ,\u_logic/_al_u657_o }),
.c({\u_logic/Zm8ax6 ,\u_logic/Oh8ax6 }),
.d({\u_logic/Hw8ax6 ,\u_logic/Wq8ax6 }),
.f({\u_logic/Nkwiu6 ,\u_logic/Vq2iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18122)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C*D))"),
//.LUTF1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("~(B*~(C*D))"),
//.LUTG1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001100110011),
.INIT_LUTF1(16'b0010001111101111),
.INIT_LUTG0(16'b1111001100110011),
.INIT_LUTG1(16'b0010001111101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u990|u_logic/Oh8ax6_reg (
.a({\u_logic/_al_u633_o ,open_n147886}),
.b({\u_logic/_al_u657_o ,\u_logic/_al_u990_o }),
.c({\u_logic/Oh8ax6 ,\u_logic/Ggabx6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Xf8ax6 ,\u_logic/Vk1iu6 }),
.f({\u_logic/_al_u990_o ,open_n147905}),
.q({open_n147909,\u_logic/Oh8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18122)
// ../rtl/topmodule/cortexm0ds_logic.v(17857)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~A*~(D*B))"),
//.LUTF1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("~(C*~A*~(D*B))"),
//.LUTG1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111110101111),
.INIT_LUTF1(16'b0010001111101111),
.INIT_LUTG0(16'b1110111110101111),
.INIT_LUTG1(16'b0010001111101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u994|u_logic/L0ypw6_reg (
.a({\u_logic/_al_u633_o ,\u_logic/_al_u985_o }),
.b({\u_logic/_al_u657_o ,\u_logic/Vk1iu6 }),
.c({\u_logic/E97ax6 ,\u_logic/Gt2iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Hlwpw6 ,\u_logic/Gyxpw6 }),
.f({\u_logic/_al_u994_o ,open_n147928}),
.q({open_n147932,\u_logic/L0ypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17857)
// ../rtl/topmodule/cortexm0ds_logic.v(19931)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*D))"),
//.LUT1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001100110011),
.INIT_LUT1(16'b0010001111101111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u996|u_logic/Ufbbx6_reg (
.a({\u_logic/_al_u633_o ,open_n147933}),
.b({\u_logic/_al_u657_o ,\u_logic/_al_u998_o }),
.c({\u_logic/Hlwpw6 ,\u_logic/Pdbbx6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Ufbbx6 ,\u_logic/Vk1iu6 }),
.f({\u_logic/Gm2iu6 ,open_n147948}),
.q({open_n147952,\u_logic/Ufbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19931)
// ../rtl/topmodule/cortexm0ds_logic.v(19992)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u99|u_logic/Xdebx6_reg (
.c({\u_logic/Nkwiu6 ,\u_logic/H0ebx6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Avwiu6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/Zt1iu6 ,\u_logic/I74iu6 }),
.q({open_n147977,\u_logic/Xdebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19992)
EG_PHY_MSLICE #(
//.MACRO("u_logic/add0/u0|add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/add0/u0|add0/ucin (
.a({\u_logic/Qehbx6 ,1'b0}),
.b({\u_logic/E4yhu6 ,open_n147978}),
.f({\u_logic/Vrkbx6 [1],open_n147998}),
.fco(\u_logic/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/add0/u0|add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/add0/u2|add0/u1 (
.a({\u_logic/Xn7ax6 ,\u_logic/Vj3qw6 }),
.b({\u_logic/Dugax6 ,\u_logic/Ksgax6 }),
.fci(\u_logic/add0/c1 ),
.f(\u_logic/Vrkbx6 [3:2]),
.fco(\u_logic/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/add0/u0|add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/add0/u4|add0/u3 (
.a({\u_logic/Pg3qw6 ,\u_logic/P23qw6 }),
.b(2'b00),
.fci(\u_logic/add0/c3 ),
.f(\u_logic/Vrkbx6 [5:4]),
.fco(\u_logic/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/add0/u0|add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/add0/u6|add0/u5 (
.a({\u_logic/Vn9bx6 ,\u_logic/Bf3qw6 }),
.b(2'b00),
.fci(\u_logic/add0/c5 ),
.f(\u_logic/Vrkbx6 [7:6]),
.fco(\u_logic/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/add0/u0|add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/add0/u8|add0/u7 (
.a({\u_logic/Ke1qw6 ,\u_logic/Nd3qw6 }),
.b(2'b00),
.fci(\u_logic/add0/c7 ),
.f(\u_logic/Vrkbx6 [9:8]),
.fco(\u_logic/add0/c9 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/add0/u0|add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/add0/u9_al_u4839 (
.a({open_n148091,\u_logic/Yf1qw6 }),
.b({open_n148092,1'b0}),
.fci(\u_logic/add0/c9 ),
.f({open_n148111,\u_logic/Vrkbx6 [10]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4823"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u11_al_u4826 (
.a({\u_logic/vis_pc_o[13] ,\u_logic/vis_pc_o[11] }),
.b({\u_logic/vis_pc_o[14] ,\u_logic/vis_pc_o[12] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c11 ),
.f({\u_logic/Zsfpw6 [13],\u_logic/Zsfpw6 [11]}),
.fco(\u_logic/add1/c15 ),
.fx({\u_logic/Zsfpw6 [14],\u_logic/Zsfpw6 [12]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4823"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u15_al_u4827 (
.a({\u_logic/vis_pc_o[17] ,\u_logic/vis_pc_o[15] }),
.b({\u_logic/vis_pc_o[18] ,\u_logic/vis_pc_o[16] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c15 ),
.f({\u_logic/Zsfpw6 [17],\u_logic/Zsfpw6 [15]}),
.fco(\u_logic/add1/c19 ),
.fx({\u_logic/Zsfpw6 [18],\u_logic/Zsfpw6 [16]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4823"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u19_al_u4828 (
.a({\u_logic/vis_pc_o[21] ,\u_logic/vis_pc_o[19] }),
.b({\u_logic/vis_pc_o[22] ,\u_logic/vis_pc_o[20] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c19 ),
.f({\u_logic/Zsfpw6 [21],\u_logic/Zsfpw6 [19]}),
.fco(\u_logic/add1/c23 ),
.fx({\u_logic/Zsfpw6 [22],\u_logic/Zsfpw6 [20]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4823"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u23_al_u4829 (
.a({\u_logic/vis_pc_o[25] ,\u_logic/vis_pc_o[23] }),
.b({\u_logic/vis_pc_o[26] ,\u_logic/vis_pc_o[24] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c23 ),
.f({\u_logic/Zsfpw6 [25],\u_logic/Zsfpw6 [23]}),
.fco(\u_logic/add1/c27 ),
.fx({\u_logic/Zsfpw6 [26],\u_logic/Zsfpw6 [24]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4823"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u27_al_u4830 (
.a({\u_logic/vis_pc_o[29] ,\u_logic/vis_pc_o[27] }),
.b({\u_logic/vis_pc_o[30] ,\u_logic/vis_pc_o[28] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c27 ),
.f({\u_logic/Zsfpw6 [29],\u_logic/Zsfpw6 [27]}),
.fx({\u_logic/Zsfpw6 [30],\u_logic/Zsfpw6 [28]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4823"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u3_al_u4824 (
.a({\u_logic/vis_pc_o[5] ,\u_logic/vis_pc_o[3] }),
.b({\u_logic/vis_pc_o[6] ,\u_logic/vis_pc_o[4] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c3 ),
.f({\u_logic/Zsfpw6 [5],\u_logic/Zsfpw6 [3]}),
.fco(\u_logic/add1/c7 ),
.fx({\u_logic/Zsfpw6 [6],\u_logic/Zsfpw6 [4]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4823"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u7_al_u4825 (
.a({\u_logic/vis_pc_o[9] ,\u_logic/vis_pc_o[7] }),
.b({\u_logic/vis_pc_o[10] ,\u_logic/vis_pc_o[8] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c7 ),
.f({\u_logic/Zsfpw6 [9],\u_logic/Zsfpw6 [7]}),
.fco(\u_logic/add1/c11 ),
.fx({\u_logic/Zsfpw6 [10],\u_logic/Zsfpw6 [8]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4823"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/ucin_al_u4823 (
.a({\u_logic/vis_pc_o[1] ,1'b0}),
.b({\u_logic/vis_pc_o[2] ,\u_logic/vis_pc_o[0] }),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\u_logic/Zsfpw6 [1],open_n148261}),
.fco(\u_logic/add1/c3 ),
.fx({\u_logic/Zsfpw6 [2],\u_logic/Zsfpw6 [0]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4831"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u11_al_u4834 (
.a({\u_logic/vis_pc_o[14] ,\u_logic/vis_pc_o[12] }),
.b({\u_logic/vis_pc_o[15] ,\u_logic/vis_pc_o[13] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add2/c11 ),
.f({\u_logic/N5fpw6 [14],\u_logic/N5fpw6 [12]}),
.fco(\u_logic/add2/c15 ),
.fx({\u_logic/N5fpw6 [15],\u_logic/N5fpw6 [13]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4831"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u15_al_u4835 (
.a({\u_logic/vis_pc_o[18] ,\u_logic/vis_pc_o[16] }),
.b({\u_logic/vis_pc_o[19] ,\u_logic/vis_pc_o[17] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add2/c15 ),
.f({\u_logic/N5fpw6 [18],\u_logic/N5fpw6 [16]}),
.fco(\u_logic/add2/c19 ),
.fx({\u_logic/N5fpw6 [19],\u_logic/N5fpw6 [17]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4831"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u19_al_u4836 (
.a({\u_logic/vis_pc_o[22] ,\u_logic/vis_pc_o[20] }),
.b({\u_logic/vis_pc_o[23] ,\u_logic/vis_pc_o[21] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add2/c19 ),
.f({\u_logic/N5fpw6 [22],\u_logic/N5fpw6 [20]}),
.fco(\u_logic/add2/c23 ),
.fx({\u_logic/N5fpw6 [23],\u_logic/N5fpw6 [21]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4831"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u23_al_u4837 (
.a({\u_logic/vis_pc_o[26] ,\u_logic/vis_pc_o[24] }),
.b({\u_logic/vis_pc_o[27] ,\u_logic/vis_pc_o[25] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add2/c23 ),
.f({\u_logic/N5fpw6 [26],\u_logic/N5fpw6 [24]}),
.fco(\u_logic/add2/c27 ),
.fx({\u_logic/N5fpw6 [27],\u_logic/N5fpw6 [25]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4831"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u27_al_u4838 (
.a({\u_logic/vis_pc_o[30] ,\u_logic/vis_pc_o[28] }),
.b({open_n148336,\u_logic/vis_pc_o[29] }),
.c(2'b00),
.d(2'b00),
.e({open_n148339,1'b0}),
.fci(\u_logic/add2/c27 ),
.f({\u_logic/N5fpw6 [30],\u_logic/N5fpw6 [28]}),
.fx({open_n148355,\u_logic/N5fpw6 [29]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4831"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u3_al_u4832 (
.a({\u_logic/vis_pc_o[6] ,\u_logic/vis_pc_o[4] }),
.b({\u_logic/vis_pc_o[7] ,\u_logic/vis_pc_o[5] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add2/c3 ),
.f({\u_logic/N5fpw6 [6],\u_logic/N5fpw6 [4]}),
.fco(\u_logic/add2/c7 ),
.fx({\u_logic/N5fpw6 [7],\u_logic/N5fpw6 [5]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4831"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u7_al_u4833 (
.a({\u_logic/vis_pc_o[10] ,\u_logic/vis_pc_o[8] }),
.b({\u_logic/vis_pc_o[11] ,\u_logic/vis_pc_o[9] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add2/c7 ),
.f({\u_logic/N5fpw6 [10],\u_logic/N5fpw6 [8]}),
.fco(\u_logic/add2/c11 ),
.fx({\u_logic/N5fpw6 [11],\u_logic/N5fpw6 [9]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4831"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/ucin_al_u4831 (
.a({\u_logic/vis_pc_o[2] ,1'b0}),
.b({\u_logic/vis_pc_o[3] ,\u_logic/R0ghu6 }),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\u_logic/N5fpw6 [2],open_n148411}),
.fco(\u_logic/add2/c3 ),
.fx({\u_logic/N5fpw6 [3],open_n148412}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4814"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u11_al_u4817 (
.a({\u_logic/Q1epw6 ,\u_logic/C1epw6 }),
.b({\u_logic/X1epw6 ,\u_logic/J1epw6 }),
.c(2'b00),
.d({\u_logic/Idfpw6 [13],\u_logic/Idfpw6 [11]}),
.e({\u_logic/Idfpw6 [14],\u_logic/Idfpw6 [12]}),
.fci(\u_logic/add3_add4/c11 ),
.f({\u_logic/Nxkbx6 [14],\u_logic/Nxkbx6 [12]}),
.fco(\u_logic/add3_add4/c15 ),
.fx({\u_logic/Nxkbx6 [15],\u_logic/Nxkbx6 [13]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4814"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u15_al_u4818 (
.a({\u_logic/G3epw6 ,\u_logic/L2epw6 }),
.b({\u_logic/N3epw6 ,\u_logic/Z2epw6 }),
.c(2'b00),
.d({\u_logic/Idfpw6 [17],\u_logic/Idfpw6 [15]}),
.e({\u_logic/Idfpw6 [18],\u_logic/Idfpw6 [16]}),
.fci(\u_logic/add3_add4/c15 ),
.f({\u_logic/Nxkbx6 [18],\u_logic/Nxkbx6 [16]}),
.fco(\u_logic/add3_add4/c19 ),
.fx({\u_logic/Nxkbx6 [19],\u_logic/Nxkbx6 [17]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4814"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u19_al_u4819 (
.a({\u_logic/I4epw6 ,\u_logic/U3epw6 }),
.b({\u_logic/P4epw6 ,\u_logic/B4epw6 }),
.c(2'b00),
.d({\u_logic/Idfpw6 [21],\u_logic/Idfpw6 [19]}),
.e({\u_logic/Idfpw6 [22],\u_logic/Idfpw6 [20]}),
.fci(\u_logic/add3_add4/c19 ),
.f({\u_logic/Nxkbx6 [22],\u_logic/Nxkbx6 [20]}),
.fco(\u_logic/add3_add4/c23 ),
.fx({\u_logic/Nxkbx6 [23],\u_logic/Nxkbx6 [21]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4814"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u23_al_u4820 (
.a({\u_logic/Qbfpw6 [25],\u_logic/Qbfpw6 [23]}),
.b({\u_logic/Qbfpw6 [26],\u_logic/Qbfpw6 [24]}),
.c(2'b00),
.d({\u_logic/Idfpw6 [25],\u_logic/Idfpw6 [23]}),
.e({\u_logic/Idfpw6 [26],\u_logic/Idfpw6 [24]}),
.fci(\u_logic/add3_add4/c23 ),
.f({\u_logic/Nxkbx6 [26],\u_logic/Nxkbx6 [24]}),
.fco(\u_logic/add3_add4/c27 ),
.fx({\u_logic/Nxkbx6 [27],\u_logic/Nxkbx6 [25]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4814"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u27_al_u4821 (
.a({\u_logic/Qbfpw6 [29],\u_logic/Qbfpw6 [27]}),
.b({\u_logic/Qbfpw6 [30],\u_logic/Qbfpw6 [28]}),
.c(2'b00),
.d({\u_logic/Idfpw6 [29],\u_logic/Idfpw6 [27]}),
.e({\u_logic/Idfpw6 [30],\u_logic/Idfpw6 [28]}),
.fci(\u_logic/add3_add4/c27 ),
.f({\u_logic/Nxkbx6 [30],\u_logic/Nxkbx6 [28]}),
.fco(\u_logic/add3_add4/c31 ),
.fx({\u_logic/Nxkbx6 [31],\u_logic/Nxkbx6 [29]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4814"),
//.R_POSITION("X0Y4Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u31_al_u4822 (
.a({open_n148505,\u_logic/D5epw6 }),
.c(2'b00),
.d({open_n148510,\u_logic/Idfpw6 [31]}),
.fci(\u_logic/add3_add4/c31 ),
.f({open_n148527,\u_logic/Nxkbx6 [32]}),
.fx({open_n148529,\u_logic/Nxkbx6 [33]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4814"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u3_al_u4815 (
.a({\u_logic/Qbfpw6 [5],\u_logic/Qbfpw6 [3]}),
.b({\u_logic/E2epw6 ,\u_logic/Qbfpw6 [4]}),
.c(2'b00),
.d({\u_logic/Idfpw6 [5],\u_logic/Idfpw6 [3]}),
.e({\u_logic/Idfpw6 [6],\u_logic/Idfpw6 [4]}),
.fci(\u_logic/add3_add4/c3 ),
.f({\u_logic/Nxkbx6 [6],\u_logic/Nxkbx6 [4]}),
.fco(\u_logic/add3_add4/c7 ),
.fx({\u_logic/Nxkbx6 [7],\u_logic/Nxkbx6 [5]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4814"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u7_al_u4816 (
.a({\u_logic/Q5phu6 ,\u_logic/S2epw6 }),
.b({\u_logic/Qbfpw6 [10],\u_logic/W4epw6 }),
.c(2'b00),
.d({\u_logic/Idfpw6 [9],\u_logic/Idfpw6 [7]}),
.e({\u_logic/Idfpw6 [10],\u_logic/Idfpw6 [8]}),
.fci(\u_logic/add3_add4/c7 ),
.f({\u_logic/Nxkbx6 [10],\u_logic/Nxkbx6 [8]}),
.fco(\u_logic/add3_add4/c11 ),
.fx({\u_logic/Nxkbx6 [11],\u_logic/Nxkbx6 [9]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4814"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/ucin_al_u4814 (
.a({\u_logic/Qbfpw6 [1],\u_logic/Dqfhu6 }),
.b({\u_logic/Qbfpw6 [2],\u_logic/Qbfpw6 [0]}),
.c(2'b00),
.d({\u_logic/Idfpw6 [1],1'b1}),
.e({\u_logic/Idfpw6 [2],\u_logic/Idfpw6 [0]}),
.f({\u_logic/Nxkbx6 [2],open_n148585}),
.fco(\u_logic/add3_add4/c3 ),
.fx({\u_logic/Nxkbx6 [3],\u_logic/Nxkbx6 [1]}));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\u_logic/mult0_0_0_ (
.a(\u_logic/Mifpw6 [17:0]),
.b(\u_logic/Tgfpw6 [17:0]),
.p({open_n148671,open_n148672,open_n148673,open_n148674,\u_logic/mult0_0_0_31 ,\u_logic/mult0_0_0_30 ,\u_logic/mult0_0_0_29 ,\u_logic/mult0_0_0_28 ,\u_logic/mult0_0_0_27 ,\u_logic/mult0_0_0_26 ,\u_logic/mult0_0_0_25 ,\u_logic/mult0_0_0_24 ,\u_logic/mult0_0_0_23 ,\u_logic/mult0_0_0_22 ,\u_logic/mult0_0_0_21 ,\u_logic/mult0_0_0_20 ,\u_logic/mult0_0_0_19 ,\u_logic/mult0_0_0_18 ,\u_logic/mult0_0_0_17 ,\u_logic/mult0_0_0_16 ,\u_logic/mult0_0_0_15 ,\u_logic/mult0_0_0_14 ,\u_logic/mult0_0_0_13 ,\u_logic/mult0_0_0_12 ,\u_logic/mult0_0_0_11 ,\u_logic/mult0_0_0_10 ,\u_logic/mult0_0_0_9 ,\u_logic/mult0_0_0_8 ,\u_logic/mult0_0_0_7 ,\u_logic/mult0_0_0_6 ,\u_logic/mult0_0_0_5 ,\u_logic/mult0_0_0_4 ,\u_logic/mult0_0_0_3 ,\u_logic/mult0_0_0_2 ,\u_logic/mult0_0_0_1 ,\u_logic/mult0_0_0_0 }));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\u_logic/mult0_0_1_ (
.a(\u_logic/Mifpw6 [17:0]),
.b({4'b0000,\u_logic/Tgfpw6 [31:18]}),
.p({open_n148758,open_n148759,open_n148760,open_n148761,open_n148762,open_n148763,open_n148764,open_n148765,open_n148766,open_n148767,open_n148768,open_n148769,open_n148770,open_n148771,open_n148772,open_n148773,open_n148774,open_n148775,open_n148776,open_n148777,open_n148778,open_n148779,\u_logic/mult0_0_1_13 ,\u_logic/mult0_0_1_12 ,\u_logic/mult0_0_1_11 ,\u_logic/mult0_0_1_10 ,\u_logic/mult0_0_1_9 ,\u_logic/mult0_0_1_8 ,\u_logic/mult0_0_1_7 ,\u_logic/mult0_0_1_6 ,\u_logic/mult0_0_1_5 ,\u_logic/mult0_0_1_4 ,\u_logic/mult0_0_1_3 ,\u_logic/mult0_0_1_2 ,\u_logic/mult0_0_1_1 ,\u_logic/mult0_0_1_0 }));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\u_logic/mult0_1_0_ (
.a({4'b0000,\u_logic/Mifpw6 [31:18]}),
.b(\u_logic/Tgfpw6 [17:0]),
.p({open_n148863,open_n148864,open_n148865,open_n148866,open_n148867,open_n148868,open_n148869,open_n148870,open_n148871,open_n148872,open_n148873,open_n148874,open_n148875,open_n148876,open_n148877,open_n148878,open_n148879,open_n148880,open_n148881,open_n148882,open_n148883,open_n148884,\u_logic/mult0_1_0_13 ,\u_logic/mult0_1_0_12 ,\u_logic/mult0_1_0_11 ,\u_logic/mult0_1_0_10 ,\u_logic/mult0_1_0_9 ,\u_logic/mult0_1_0_8 ,\u_logic/mult0_1_0_7 ,\u_logic/mult0_1_0_6 ,\u_logic/mult0_1_0_5 ,\u_logic/mult0_1_0_4 ,\u_logic/mult0_1_0_3 ,\u_logic/mult0_1_0_2 ,\u_logic/mult0_1_0_1 ,\u_logic/mult0_1_0_0 }));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u0|sub0/ucin (
.a({\u_logic/N8rpw6 ,1'b0}),
.b({1'b1,open_n148885}),
.f({\u_logic/L6gpw6 [0],open_n148905}),
.fco(\u_logic/sub0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u10|sub0/u9 (
.a({\u_logic/Vrtpw6 ,\u_logic/Uojbx6 }),
.b(2'b00),
.fci(\u_logic/sub0/c9 ),
.f(\u_logic/L6gpw6 [10:9]),
.fco(\u_logic/sub0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u12|sub0/u11 (
.a({\u_logic/V0jpw6 ,\u_logic/Pt7ax6 }),
.b(2'b00),
.fci(\u_logic/sub0/c11 ),
.f(\u_logic/L6gpw6 [12:11]),
.fco(\u_logic/sub0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u14|sub0/u13 (
.a({\u_logic/Rfxax6 ,\u_logic/T9kpw6 }),
.b(2'b00),
.fci(\u_logic/sub0/c13 ),
.f(\u_logic/L6gpw6 [14:13]),
.fco(\u_logic/sub0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u16|sub0/u15 (
.a({\u_logic/Wlspw6 ,\u_logic/Nbxax6 }),
.b(2'b00),
.fci(\u_logic/sub0/c15 ),
.f(\u_logic/L6gpw6 [16:15]),
.fco(\u_logic/sub0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u18|sub0/u17 (
.a({\u_logic/N0xpw6 ,\u_logic/Amupw6 }),
.b(2'b00),
.fci(\u_logic/sub0/c17 ),
.f(\u_logic/L6gpw6 [18:17]),
.fco(\u_logic/sub0/c19 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y5Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u20|sub0/u19 (
.a({\u_logic/Z8jpw6 ,\u_logic/Nr7ax6 }),
.b(2'b00),
.fci(\u_logic/sub0/c19 ),
.f(\u_logic/L6gpw6 [20:19]),
.fco(\u_logic/sub0/c21 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y5Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u22|sub0/u21 (
.a({\u_logic/F9gbx6 ,\u_logic/Tjkpw6 }),
.b(2'b00),
.fci(\u_logic/sub0/c21 ),
.f(\u_logic/L6gpw6 [22:21]),
.fco(\u_logic/sub0/c23 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y6Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u23_al_u4840 (
.a({open_n149064,\u_logic/Coupw6 }),
.b({open_n149065,1'b0}),
.fci(\u_logic/sub0/c23 ),
.f({open_n149084,\u_logic/L6gpw6 [23]}));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u2|sub0/u1 (
.a({\u_logic/Kzabx6 ,\u_logic/Oarpw6 }),
.b(2'b00),
.fci(\u_logic/sub0/c1 ),
.f(\u_logic/L6gpw6 [2:1]),
.fco(\u_logic/sub0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u4|sub0/u3 (
.a({\u_logic/Johbx6 ,\u_logic/P0ibx6 }),
.b(2'b00),
.fci(\u_logic/sub0/c3 ),
.f(\u_logic/L6gpw6 [4:3]),
.fco(\u_logic/sub0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u6|sub0/u5 (
.a({\u_logic/Rv7ax6 ,\u_logic/Y7opw6 }),
.b(2'b00),
.fci(\u_logic/sub0/c5 ),
.f(\u_logic/L6gpw6 [6:5]),
.fco(\u_logic/sub0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u8|sub0/u7 (
.a({\u_logic/Ss0qw6 ,\u_logic/Ujxax6 }),
.b(2'b00),
.fci(\u_logic/sub0/c7 ),
.f(\u_logic/L6gpw6 [8:7]),
.fco(\u_logic/sub0/c9 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub1/u0|sub1/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub1/u0|sub1/ucin (
.a({\u_logic/X5phu6 ,1'b0}),
.b({1'b1,open_n149178}),
.fco(\u_logic/sub1/c1 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub1/u0|sub1/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub1/u2|sub1/u1 (
.a(\u_logic/Vnfpw6 [1:0]),
.b(2'b00),
.fci(\u_logic/sub1/c1 ),
.f(\u_logic/Xlfpw6 [2:1]),
.fco(\u_logic/sub1/c3 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub1/u0|sub1/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub1/u4|sub1/u3 (
.a(\u_logic/Vnfpw6 [3:2]),
.b(2'b00),
.fci(\u_logic/sub1/c3 ),
.f(\u_logic/Xlfpw6 [4:3]),
.fco(\u_logic/sub1/c5 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub1/u0|sub1/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub1/u6|sub1/u5 (
.a(\u_logic/Vnfpw6 [5:4]),
.b(2'b00),
.fci(\u_logic/sub1/c5 ),
.f(\u_logic/Xlfpw6 [6:5]),
.fco(\u_logic/sub1/c7 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub1/u0|sub1/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub1/u8|sub1/u7 (
.a(\u_logic/Vnfpw6 [7:6]),
.b(2'b00),
.fci(\u_logic/sub1/c7 ),
.f(\u_logic/Xlfpw6 [8:7]));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/u1/u0|u1/ucin (
.a({\u_logic/mult0_1_0_0 ,1'b0}),
.b({\u_logic/mult0_0_1_0 ,open_n149293}),
.ce(\u_logic/n531 ),
.clk(clk_pad),
.mi({open_n149308,\u_logic/O34iu6 }),
.sr(RSTn_pad),
.f({\u_logic/n135 [0],open_n149309}),
.fco(\u_logic/u1/c1 ),
.q({open_n149312,\u_logic/L2bax6 }));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u10|u1/u9 (
.a({\u_logic/mult0_1_0_10 ,\u_logic/mult0_1_0_9 }),
.b({\u_logic/mult0_0_1_10 ,\u_logic/mult0_0_1_9 }),
.fci(\u_logic/u1/c9 ),
.f(\u_logic/n135 [10:9]),
.fco(\u_logic/u1/c11 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u12|u1/u11 (
.a({\u_logic/mult0_1_0_12 ,\u_logic/mult0_1_0_11 }),
.b({\u_logic/mult0_0_1_12 ,\u_logic/mult0_0_1_11 }),
.fci(\u_logic/u1/c11 ),
.f(\u_logic/n135 [12:11]),
.fco(\u_logic/u1/c13 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u13_al_u4841 (
.a({open_n149357,\u_logic/mult0_1_0_13 }),
.b({open_n149358,\u_logic/mult0_0_1_13 }),
.fci(\u_logic/u1/c13 ),
.f({open_n149377,\u_logic/n135 [13]}));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u2|u1/u1 (
.a({\u_logic/mult0_1_0_2 ,\u_logic/mult0_1_0_1 }),
.b({\u_logic/mult0_0_1_2 ,\u_logic/mult0_0_1_1 }),
.fci(\u_logic/u1/c1 ),
.f(\u_logic/n135 [2:1]),
.fco(\u_logic/u1/c3 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u4|u1/u3 (
.a({\u_logic/mult0_1_0_4 ,\u_logic/mult0_1_0_3 }),
.b({\u_logic/mult0_0_1_4 ,\u_logic/mult0_0_1_3 }),
.fci(\u_logic/u1/c3 ),
.f(\u_logic/n135 [4:3]),
.fco(\u_logic/u1/c5 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u6|u1/u5 (
.a({\u_logic/mult0_1_0_6 ,\u_logic/mult0_1_0_5 }),
.b({\u_logic/mult0_0_1_6 ,\u_logic/mult0_0_1_5 }),
.fci(\u_logic/u1/c5 ),
.f(\u_logic/n135 [6:5]),
.fco(\u_logic/u1/c7 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u8|u1/u7 (
.a({\u_logic/mult0_1_0_8 ,\u_logic/mult0_1_0_7 }),
.b({\u_logic/mult0_0_1_8 ,\u_logic/mult0_0_1_7 }),
.fci(\u_logic/u1/c7 ),
.f(\u_logic/n135 [8:7]),
.fco(\u_logic/u1/c9 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/u2/u0|u2/ucin (
.a({\u_logic/mult0_0_0_18 ,1'b0}),
.b({\u_logic/n135 [0],open_n149471}),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.mi({open_n149486,\u_logic/Lm1iu6 }),
.f({\u_logic/n159 [0],open_n149488}),
.fco(\u_logic/u2/c1 ),
.q({open_n149491,\u_logic/H8gax6 }));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u10|u2/u9 (
.a({\u_logic/mult0_0_0_28 ,\u_logic/mult0_0_0_27 }),
.b(\u_logic/n135 [10:9]),
.fci(\u_logic/u2/c9 ),
.f(\u_logic/n159 [10:9]),
.fco(\u_logic/u2/c11 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u12|u2/u11 (
.a({\u_logic/mult0_0_0_30 ,\u_logic/mult0_0_0_29 }),
.b(\u_logic/n135 [12:11]),
.fci(\u_logic/u2/c11 ),
.f(\u_logic/n159 [12:11]),
.fco(\u_logic/u2/c13 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u13_al_u4842 (
.a({open_n149536,\u_logic/mult0_0_0_31 }),
.b({open_n149537,\u_logic/n135 [13]}),
.fci(\u_logic/u2/c13 ),
.f({open_n149556,\u_logic/n159 [13]}));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u2|u2/u1 (
.a({\u_logic/mult0_0_0_20 ,\u_logic/mult0_0_0_19 }),
.b(\u_logic/n135 [2:1]),
.fci(\u_logic/u2/c1 ),
.f(\u_logic/n159 [2:1]),
.fco(\u_logic/u2/c3 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u4|u2/u3 (
.a({\u_logic/mult0_0_0_22 ,\u_logic/mult0_0_0_21 }),
.b(\u_logic/n135 [4:3]),
.fci(\u_logic/u2/c3 ),
.f(\u_logic/n159 [4:3]),
.fco(\u_logic/u2/c5 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u6|u2/u5 (
.a({\u_logic/mult0_0_0_24 ,\u_logic/mult0_0_0_23 }),
.b(\u_logic/n135 [6:5]),
.fci(\u_logic/u2/c5 ),
.f(\u_logic/n159 [6:5]),
.fco(\u_logic/u2/c7 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u8|u2/u7 (
.a({\u_logic/mult0_0_0_26 ,\u_logic/mult0_0_0_25 }),
.b(\u_logic/n135 [8:7]),
.fci(\u_logic/u2/c7 ),
.f(\u_logic/n159 [8:7]),
.fco(\u_logic/u2/c9 ));
endmodule